-
设计要求
-
提示分析状态表及流程图
-
代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
-- **************************************
entity Timer is
port
(
data_in :in std_logic_vector(7 downto 0);
reset,clock,start:in std_logic;
ring :out std_logic
);
end Timer;