
电子设计自动化
Wsunsky
立个Flag,更新Blog
展开
-
quartus驱动无法识别分析
昨天win10更新了一下子,结果今天下载程序时检测不到驱动了。现象:打开programmer的时候老慢了,也老卡了过程:起初我以为是系统更新了,驱动要重新装一下子,然后就更新了一下子驱动,结果还是不行,在网上看了好多个解决方案也没有成功。结果:更新系统后防火墙把驱动给拦截了,关闭防火墙再打开软件就ok了...原创 2021-09-19 19:54:57 · 1602 阅读 · 2 评论 -
蜂鸣器电子琴 Verilog
蜂鸣器电子琴module beep( CLK_50M,RST_N,KEY, BEEP);input CLK_50M;input RST_N;input [7:0] KEY;output BEEP;//内部接口申明reg [19:0] time_cnt;reg [19:0] time_cnt_n;reg [15:0] freq;reg beep_reg;reg beep_reg_n;always@(posedge CLK_5.原创 2021-09-16 16:55:08 · 1440 阅读 · 0 评论 -
数码管动态扫描Verilog
数码管进阶动态扫描module SEG( CLK_50M,RST_N, SEG_DATA,SEG_EN); input CLK_50M;input RST_N;output reg [5:0] SEG_EN;output reg [7:0] SEG_DATA;reg [15:0] time_cnt;reg [15:0] time_cnt_n;reg [2:0] led_cnt;reg [2:0] led_cnt_n;.原创 2021-09-16 16:54:35 · 1702 阅读 · 0 评论 -
LED按键进阶Verilog
LED进阶实现闪烁的效果 module LED ( CLK_50M,RST_N, LED1);input CLK_50M;input RST_N;output LED1;reg [26:0] time_cnt;reg [26:0] time_cnt_n;reg led_reg;reg led_reg_n;parameter SET_TIME_1S=27'd50_000_000;always @ (posedge CLK_50M or neg.原创 2021-09-16 16:53:52 · 1273 阅读 · 0 评论 -
三人表决器Verilog
实战篇三人表决器外设LED功能概述设计说明module LED( LED0,LED1,LED2,LED3,LED4,LED5,LED6,LED7);output LED0,LED1,LED2,LED3,LED4,LED5,LED6,LED7;assign LED0=1'b1;assign LED1=1'b0;assign LED2=1'b0;assign LED3=1'b0;assign LED4=1'b1;assign LED5=1'b0;assign LED原创 2021-09-13 20:33:55 · 5375 阅读 · 0 评论 -
IP-FIFO
IP-FIFO概述先进先出队列跨时钟域,数据缓冲不同宽度数据匹配配置应用verilog_to_RAM.vmodule Verilog_to_FIFO( CLK_50M,RST_N, wrdata,rddata,wren,rden,time_cnt,usedw,full,empty);input CLK_50M;input RST_N;output reg[5:0] time_cnt;output reg[7:0] wrdata;output原创 2021-09-13 17:30:45 · 108 阅读 · 0 评论 -
IP-RAM
IP-RAM概述随机存取存储器SRAM 和DRAM配置单端口双端口应用verilog_to_RAM.vmodule Verilog_to_RAM( CLK_50M,RST_N,address,wrdata,rddata,wren,rden,time_cnt);input CLK_50M;input RST_N;output reg[5:0] time_cnt;output reg[4:0] address;output reg[7:0] wrd原创 2021-09-13 16:07:21 · 171 阅读 · 0 评论 -
IP-ROM
IP-ROM概述只读存储器配置应用verilog_to_ROM.vmodule Verilog_to_ROM( CLK_50M,RST_N,readdate,address);input CLK_50M;input RST_N;input [4:0] address;output[7:0] readdate;memory memory_inst ( .address ( address ), .clock ( CLK_50M ), .q ( readda原创 2021-09-12 17:10:55 · 188 阅读 · 0 评论 -
IP核之PLL
I P核IP-PLL概述锁相环(phase Locked loop)提供了ALTPLL配置应用瞎搞之乱写: 实现控制两个LED闪烁,分别为1S、2S module Verilog_to_PLL ( CLK_50M,RST_N,LED1,LED2 ); input CLK_50M; input RST_N; output LED1; output LED2; wire CLK_1M; reg[20:0] time_cnt; reg[20:0] t原创 2021-09-11 14:55:38 · 188 阅读 · 0 评论 -
锆石FPGA---verlog语法篇
Verilog HDL概述Verilog vs VHDLVerilog :快速入门VHDL:入门时间长数字逻辑0 1 x z数据类型寄存器 线网 参数reg使用:always,initial综合: 时序-寄存器 组合-硬件连线 混合-锁存器wire默认为 zparameter运算符±*/%> < =! && ||== !=a ? b: c& | ^ ~^ ^~<< >>{ 拼原创 2021-09-09 16:31:41 · 622 阅读 · 0 评论 -
密码锁设计(不完整)-VHDL
小白萌新,思路仅供参考,问题较多,有待改善设计要求4个按键为密码输入键,可以用另一个按键作为复位,当按下复位按键时可以重新开始输入新的密码。利用一位数码管显示输入密码的次数,另一位显示正确与否,如果正确数码管显示“H”,如果错误,数码管显示“E”.当超过3次时如果密码仍然输入不正确程序library ieee;use ieee.std_logic_1164.all;use ie...原创 2020-04-14 17:47:36 · 1796 阅读 · 1 评论 -
分频器+计数器+数码管显示VHDL
天马星空,纯理论写代码,未实操1.方案一波形(RTL)原理图+代码设计代码1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;-- 50Mhz-- 任意Hz分频器entity divide isgen...原创 2020-03-24 20:29:13 · 6024 阅读 · 2 评论 -
8位计数器Timer--状态机VHDL
设计要求提示分析状态表及流程图代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-- **************************************entity Timer isport( data_in :in std_logic_vecto...原创 2020-03-24 20:18:20 · 2605 阅读 · 1 评论 -
VHDL-家用报警系统设计
用VHDL设计一家用告警系统的控制逻辑,它有来自传感器的三个输入信号smoke、door、water和准备传输到告警设备的三个输出触发信号fire_alarm、burg_alarm、water_alarm以及使能信号door_en和alarm_en。程序library ieee;use ieee.std_logic_1164.all;entity alarm is port(...原创 2020-03-16 16:14:49 · 1449 阅读 · 0 评论 -
EDA数字钟--由(两片74161做成的六十进制计数器)问题总结
六十进制计数器十二进制计数器数字钟问题波形原因分析实际的真值表要求的真值表09波形时间太短六十进制计数器 当EN=0是 并且LDN=0时 遇到上升沿后74161清零,与EN=0保持 相矛盾2. 改进方案为清零时,添加EN的约束 即EN=1时才能进行清零操作...原创 2020-03-04 19:19:53 · 11243 阅读 · 2 评论