搭建图像处理仿真测试工程,实现读写bmp文件的功能
一、SystemVerilog/Verilog 读写文件函数
1. 打开文件函数

示例:
integer fd;
fd = $fopen("xxx.bmp","rb");
2. 关闭文件函数

3. 读写文件函数
(1) writemem[b|h]/readmem[b|h]


示例:
reg [31:0] mem [63:0];
initial begin
$readmemb("xxx./data.hex" , mem); //读文件数据
$dispaly("Read memory1: %h" , mem[0]);
$writememb("xxx./data_bak.hex" , mem);//写文件数据
end
(2) $fscanf 和 $fwrite

示例:读写1920个数据位宽为8bit的数据文件
parameter LEN = 1920;
integer i;
reg [7:0] data [LEN - 1:0];
integer fd;
initial begin
// 读文件
fd = $fopen("./in.txt","rb");
for( i=0; i<LEN; i=i+1)begin
$fscanf(fd ,"%c",data[i]);
$display("Read data is: %c" , data[i]);
end
$fclose(fd);
// 写文件
fd = $fopen("./out.txt","wb");
for( i=0; i<LEN; i=i+1)begin
$fwrite(fd ,"%c",data[i]);
end
$fclose(fd);
end

最低0.47元/天 解锁文章
1259

被折叠的 条评论
为什么被折叠?



