
CHISEL
mcupro
这个作者很懒,什么都没留下…
展开
-
在WIN上建立CHISEL环境以及所需要的全部文件
1,下载jdk_8.0.1310.11_64.exe并安装好jdk,并设置环境变量。如果电脑之前装过(确保版本6.0以上)了并设置好了环境变量可以忽略此步骤。2, 下载sbt-1.2.8.msi安装并设置安装目录下的bin文件在搜索路径。3,拷贝make.exe到上传sbt的bin目录,这是为了实现makefile。如果您电脑上已经有make工具并且加入到了搜索路径,可以忽略此步。4,下...原创 2019-02-24 21:37:20 · 1489 阅读 · 2 评论 -
CHISEL点滴积累 1
一个简单的ALU代码如下:package simpleimport chisel3._import chisel3.util._/** * This is a very basic ALU example. */class Alu extends Module { val io = IO(new Bundle { val fn = Input(UInt(2.W...原创 2019-02-24 22:41:28 · 563 阅读 · 0 评论 -
CHISEL点滴积累 2
module Alu1( input [1:0] io_fn, input [3:0] io_a, input [3:0] io_b, output reg [3:0] io_result );always @ (*)case (io_fn)0 : io_result = io_a + io_b ;1 : io_result = io...原创 2019-02-25 23:10:02 · 717 阅读 · 0 评论 -
CHISEL 点滴积累 之三
package simple1import chisel3._import chisel3.util._class Alu extends Module {val io = IO(new Bundle{val a = Input (UInt(3.W))val y = Output (UInt(8.W))})//io.y := ~(1 << io.a) ; v...原创 2019-02-26 09:55:41 · 687 阅读 · 0 评论 -
CHISEL 点滴积累 之四
今天尝试一下函数和参数。找一个合适的例子。 package simpleimport chisel3._class aCounter(size: Int) extends Module { ///size 为参数 val io = IO(new Bundle { val out = Output(UInt(size.W)) }) val r1 = RegIn...原创 2019-02-26 23:08:48 · 577 阅读 · 0 评论 -
CHISEL点滴积累 之五
这里主要做个实验,实验一下switch语句和when elsewhen语句。 package simple import chisel3._import chisel3.util._class aWordSel (size : Int ) extends Module {val io = IO(new Bundle {val sel = Input( UInt(2.W))...原创 2019-02-27 00:17:58 · 760 阅读 · 0 评论 -
CHISEL 点滴积累 之五
/* * Simple FSM example including slow frequency tick generation and * reset handling with a top level Chisel component. * * This code is part of the Chisel examples. * * Copyright: 2015, Tec...原创 2019-03-04 00:22:12 · 551 阅读 · 1 评论