fpga系列 HDL:全连接层InegrationFCpart.v的权重读取 $readmemh

$readmemh

语法

$readmemh("filename", memory_array);//  memory_array为 要存储数据的内存数组
  • 从包含十六进制数据的文件中读取数据,并将这些数据加载到内存中。示例如下:
reg [7:0] memory [0:255];  // 256字节的内存数组
initial begin
    $readmemh("data.hex", memory);
end
  • 地址顺序:数据用换行或空格符分隔,注释用"//"。件中的数据顺序会对应于内存数组中的地址顺序。文件的第一行数据将被加载到内存数组的第一个位置,第二行数据到第二个位置,依此类推。示例:
00 // 3个8bit16进制数
01
02
...

CODE

// https://github.com/omarelhedaby/CNN-FPGA/blob/master/Final%20Code%20Files/Part%205-%20Integration/weightMemory.v
module weightMemory(clk,address,weights);

parameter DATA_WIDTH = 32;
parameter INPUT_NODES = 100;
parameter OUTPUT_NODES = 32;
parameter file = "C:/Users/ahmed/Desktop/ANN/Weight Files/weights1_IEEE.txt";

localparam TOTAL_WEIGHT_SIZE = INPUT_NODES * O
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值