Linux中UVM环境搭建


一、虚拟机安装

这里使用芯王国的Linux虚拟机,安装过程如下:https://note.youdao.com/s/FjkfF7R
安装包地址:https://pan.baidu.com/s/1n37iftWXI_F3B8_iKn1kyw
密码:gsl2
license年限 2029
虚拟机用户名:2022
虚拟机密码:2022


二、下载UVM_1.1d

按照自己需要下载UVM库文件,这里下载的是UVM_1.1d
链接:https://www.accellera.org/downloads/standards/uvm
在这里插入图片描述下载完成后,将压缩包文件拖拽到虚拟机中
在这里插入图片描述


三、解压并设置UVM环境参数

打开终端,解压压缩包:

tar -zxvf uvm-1.1d.tar.gz

解压后的文件夹如下
在这里插入图片描述接下来需要加入UVM_HOME环境变量,UVM_HOME指示的是当前uvm库的路径,即上图中的uvm-1.1d;
打开终端输入:

export UVM_HOME=/home/ICer/uvm-1.1d

设置完环境变量后输入以下命令,确保生效;

source .bashrc

四、修改Makefile文件

需要修改的Makefile文件在uvm-1.1d文件夹的example文件夹下
在这里插入图片描述输入命令,对该文件进行修改

gvim Makefile.vcs

在43行加上如下所示部分
在这里插入图片描述


五、编辑自己的Makefile文件

进入需要仿真的工程目录下,新建Makefile文件
在其中输入如下命令:
其中,UVM_HOME为UVM库的安装目录;
include为第四步中修改的Makefile.vcs路径;
第9行的run_test.sv即为需要仿真的测试文件;
第12行需要修改为+UVM_TESTNAME=<自己的测试实例>

UVM_HOME    = /home/ICer/uvm-1.1d

include /home/ICer/uvm-1.1d/examples/Makefile.vcs

all: comp run

comp:
    $(VCS) +incdir+../sv \
        run_test.sv

run:
    $(SIMV) +UVM_TESTNAME=my_test
    $(CHECK)

六、启动VCS仿真

编写完Makefile文件后,我们在其目录下输入命令:

make -f Makefile.vcs

程序运行结果会在终端进行打印,若看到最终打印信息如下,则说明平台建立成功;
在这里插入图片描述


七、测试文件

第五步中,run_test.sv源码如下

import uvm_pkg::*;
`include "uvm_pkg.sv"
`include "uvm_macros.svh"
module hello_world_example;  
   initial begin 
     `uvm_info ("info1","Hello World! lee-2017-7-25", UVM_LOW)
     `uvm_info("Gwj Test info2","Hello this is a test",UVM_LOW)
   end 
endmodule: hello_world_example

Linux UVM实战例子环境配置需要以下步骤: 1. 安装Linux操作系统:首先,从官方网站下载适用于您的计算机的Linux版本的ISO文件。然后,将ISO文件刻录到安装介质上,并在计算机上引导以启动安装程序。按照安装向导的指示安装Linux操作系统。 2. 安装UVM:在Linux环境中,您可以通过以下方式安装UVM库: - 通过包管理器:对于大多数Linux发行版,可以使用包管理器(例如,apt、yum、zypper)直接从软件源中安装UVM。您可以使用以下命令之一来安装UVM: - 对于Debian/Ubuntu系统使用apt-get:sudo apt-get install uvm - 对于Fedora系统使用dnf:sudo dnf install uvm - 对于openSUSE系统使用zypper:sudo zypper install uvm - 从源代码安装:您还可以从UVM官方网站下载源代码,并按照提供的安装说明进行编译和安装。 3. 配置环境变量:为了让系统能够正确找到UVM库,需要配置环境变量。可以在终端中执行以下命令将UVM库路径添加到环境变量中: export UVM_HOME=/path/to/uvm 4. 配置仿真工具:根据您使用的仿真工具(例如,ModelSim、VCS等),需要进行相应的配置。具体的配置步骤可能因仿真工具而异,请参考相应工具的使用手册或官方文档。 5. 下载UVM实战例子:您可以从UVM官方网站或其他可靠的资源站点下载UVM实战例子的代码。将代码下载到本地目录中。 6. 编译和运行实战例子:通过终端进入实战例子代码所在的目录,并使用您的仿真工具编译和运行例子。具体的编译和运行命令可能因仿真工具而异,请参考实战例子的说明文档。 通过按照上述步骤进行操作,您应该能够成功配置Linux UVM实战例子的环境,并能够编译和运行例子。
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PPRAM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值