一、主模块
交通灯和七段计数
`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 2016/05/24 14:55:05 // Design Name: // Module Name: traffic // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module traffic( input wire clk, input wire en, input wire rst, output reg [2:0] lamp, output wire [15:0] seven_seg ); reg [7:0] num ; reg temp ; reg [7:0] red_t ; reg [7:0] yellow_t; reg [7:0] green_t ; wire clkout ; reg [1:0] state; parameter [1:0] red = 2'd0, green = 2'd2 , yellow2 = 2'd3 ; always @ ( posedge clk or negedge rst) begin if( rst == 0 ) begin state <= 2'b0 ; temp <= 1'b0 ; red_t <= 8'b0010_0101;