IC设计
文章平均质量分 77
sunshinelifes
IC设计工程师
展开
专栏收录文章
- 默认排序
- 最新发布
- 最早发布
- 最多阅读
- 最少阅读
-
运行vcs的./simv后,记录vcs simulation report
使用命令:./simv -l sim.log原创 2019-10-23 15:39:40 · 3486 阅读 · 0 评论 -
VCS+Verdi 产生fsdb波形文件
首先我的VCS版本是vcs_mx_201509SP21①在你的tb文件中,加入initial begin $fsdbDumpfile("tb.fsdb");//这个是产生名为tb.fsdb的文件 $fsdbDumpvars; end②在linux命令行输入vcs -full64 -fsdb -f ***.f//其中 -full64是指linux64 启动vcs, ***.f是你...原创 2018-12-12 20:30:19 · 17559 阅读 · 0 评论 -
幂数在verilog中的表达 IC笔试
在进行工程编译的时候,发现前人的verilog 代码function函数中,有带幂的操作,于是进行了学习。(一)内容一般使用操作符“** ”表示幂。(二)用法操作符两边放数字。(三)表示的意义如5**i表示5的i次幂。工程具体例子module sunshine(input clk,input rst_n,output reg [31:0] a);parameter LO...原创 2019-02-19 19:33:06 · 15678 阅读 · 0 评论 -
VCS报错与解决方案,持续更新
我使用的虚拟机,系统是centos 6.5,vcs版本2014.031.在虚拟机中每次之前可以用,后面再等一天打开虚拟机就会报错。出现关于locak文件的错误我用下面的方法解决了问题,这个问题不能根本解决问题,只能每天要用vcs都得这样做一下:①先在终端使用命令 ps auxf | grep lmgrd②然后进入 /var/tmp 目录下,把所有lock的文件移到 新建文件backup ...原创 2019-05-25 10:27:35 · 5344 阅读 · 0 评论 -
VCS查看覆盖率,并使用dve查看
使用的.v 文件和tb.v文件:来自于https://blog.youkuaiyun.com/sunshinelifes/article/details/905483661.首先使用vcs命令,生成simv文件vcs -f filelist.f -cm line+cond+fsm+tgl -debug_all2.然后运行simv,使用下面的命令.出现下面图片的界面说明成功。./simv -cm li...原创 2019-05-25 17:02:15 · 17180 阅读 · 5 评论 -
Design compiler 入门到放弃(一)Lab flow
根据synopsys design compiler workshop lab guide 书做的实验。系统是centos6.5 dc的版本是2016.03-SP1。搭建DC和搭建VCS一样,可以在网上可以找到教程。dc200703_LabGuide和lab 都在下面给出链接,失效请留下邮箱。一、主要内容本实验是lab2用中文简述每一步,即1.更新setup file,其名字在...原创 2019-08-01 19:48:02 · 7445 阅读 · 50 评论
分享