ncverilog和verdi结合使用dump fsdb

VCS无法使用的问题困扰了好久,暂且放下,下面要搞定ncverilog和verdi结合使用dump fsdb的问题。

其实很简单,如果正确安装了Ncverilog和Verdi,只需要两步就可以搞定。

1.设置环境变量,也就是把Verdi的PLI库设置起来:

export LD_LIBRARY_PATH=/usr/cad/verdi-2012.10/share/PLI/IUS/LINUX/boot:$LD_LIBRARY_PATH

2.在Ncverilog的仿真命令里加入command如下:

+ncaccess+rwc +loadpli1=debpli:novas_pli_boot

比如ncverilog test_top.v +ncaccess+rwc +loadpli1=debpli:novas_pli_boot

并且在test_top.v的initial块种加入fsdbdump的命令

initial begin
  $fsdbDumpfile("system.fsdb");
  $fsdbDumpvars(0,test_top);

end

顺带说下VCS结合Verdi使用fsdbdump的方法:

只需要在命令行中加入 -P /usr/cad/verdi-2012.10/share/PLI/VCS/LINUX/novas.tab  /usr/cad/verdi-2012.10/share/PLI/VCS/LINUX/pli.a即可。

其实这些在Verdi的安装文档中都有说明,还是工具本身提供的原始文档写得最详细。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值