【IC设计】Scala、Chisel、Chiseltest版本兼容信息

本文详细列出了不同Scala版本与对应Chisel和Chiseltest的Maven兼容版本,帮助开发者解决在Sbt构建过程中寻找正确库文件的问题,附有实际版本对应表和Maven库链接。

在maven仓库中精心整理的Scala、Chisel、Chiseltest的版本兼容信息,有了这个再也不怕sbt构建时找不到库文件了!
目前百度上我搜不到这个资料,是我从maven官网上整理的,如果对你有用希望点点赞~

scala 2.11系列兼容的chisel版本为兼容的chisel版本3.0.0到3.4.4,chiseltest版本为0.2.0到0.3.4 scala
scala 2.12系列兼容的chisel版本为兼容的chisel版本3.0.0到3.6.0,chiseltest版本为0.2.0到0.6.1 scala
scala 2.13系列兼容的chisel版本为兼容的chisel版本3.5.0到3.6.0,chiseltest版本为0.5.0到0.6.2 5.0.0到5.0.2 以及6.0.0

整理的Scala-Chisel-Chiseltest版本信息对应表
这里我整理出的对应表放入了网盘中,请自取:

链接:https://pan.baidu.com/s/1tk_mW7Z_RTwhFH_YLgUOsQ?pwd=z52s 提取码:z52s
–来自百度网盘超级会员V5的分享

想获取第一手资料的可以查看maven库自己看对应的版本信息,
scala的maven库链接
chisel的maven库链接

### 兼容ChiselChiselTest 版本下载与确认方式 在使用 ChiselChiselTest 时,确保二者的版本兼容性至关重要。以下内容详细说明了如何下载并确认兼容ChiselChiselTest 版本。 #### 确认兼容ChiselChiselTest版本需要匹配以避免潜在的错误或不兼容问题。通常情况下,ChiselTest版本会明确标注其支持的 Chisel 版本范围。例如,ChiselTest 0.6.x 支持 Chisel 3.5.x[^1]。因此,在选择版本时,需查阅官方文档或仓库中的 `README` 文件以获取兼容信息。 #### 下载方式 可以通过以下两种主要方式下载 ChiselChiselTest: 1. **通过 SBT(Scala Build Tool)** 在项目的 `build.sbt` 文件中添加依赖项。以下是示例代码: ```scala libraryDependencies ++= Seq( "edu.berkeley.cs" %% "chisel3" % "3.5.4", // Chisel 3.5.4 示例版本 "edu.berkeley.cs" %% "chiseltest" % "0.6.2" // ChiselTest 0.6.2 示例版本 ) ``` 上述代码将 ChiselChiselTest 的依赖项添加到项目中。SBT 会自动解析并下载指定版本的库文件[^2]。 2. **通过 Maven 或手动下载** 如果不使用 SBT,也可以通过 Maven 仓库手动下载所需的 JAR 文件。访问 [Maven Central Repository](https://search.maven.org/) 并搜索 `chisel3` 和 `chiseltest`,选择合适的版本后下载对应的 JAR 文件。 #### 验证版本兼容性 下载完成后,需验证 ChiselChiselTest版本是否兼容。可以运行一个简单的测试程序来检查是否出现错误。以下是一个示例测试程序: ```scala import chisel3._ import chiseltest._ import org.scalatest.flatspec.AnyFlatSpec class CounterTest extends AnyFlatSpec with ChiselScalatestTester { behavior of "Counter" it should "count correctly" in { test(new Counter(10)) { c => c.clock.step(10) c.io.value.expect(10.U) } } } class Counter(max: Int) extends Module { val io = IO(new Bundle { val value = Output(UInt(8.W)) }) val count = RegInit(0.U(8.W)) when(count === (max - 1).U) { count := 0.U }.otherwise { count := count + 1.U } io.value := count } ``` 如果程序能够成功编译并运行测试用例,则说明 ChiselChiselTest版本兼容[^3]。 ---
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

农民真快落

我琢磨着也没人给我打赏呀。。

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值