链接:
【Verilog HDL 训练】第 13 天(存储器、SRAM)-云社区-华为云
module sram #(
parameter ADDR_BITS=4
)(
input clk,
input [ 7:0] addr,
input [ 7:0] din,
input ce,
input we,
output reg [ 7:0] dout
);
localparam MEM_DEPTH= 1<<ADDR_BITS;
reg [7:0] mem[MEM_DEPTH-1:0];
// synopsys_translate_off
integer i;
initial begin
for(i=0; i<MEM_DEPTH;i=i+1) begin
mem[i] = 8'h00;
end
end
// synopsys_translate_on
always @(posedge clk) begin
if(ce & we) begin
mem[addr] <= din;
end
end
always @(posedge clk) begin
if(ce && (!we)) begin
dout <= mem[addr];
end
end
endmodule
`timescale 1ns
深度16位宽8bit单端口SRAM学习记录

最低0.47元/天 解锁文章
6137

被折叠的 条评论
为什么被折叠?



