TangDynasty 开发环境安装
在开始 FPGA 开发之前,需要安装安路科技的 TangDynasty 开发工具。该工具链支持 Windows 和 Linux 平台,以下以 Windows 为例说明安装步骤。
从安路官网下载最新版本的 TangDynasty 安装包,运行安装程序时选择完整安装以获取所有必要组件。安装过程中需注意勾选 USB 驱动选项,确保后续能正常连接开发板。安装完成后,在开始菜单中找到 TangDynasty IDE 并启动,首次运行时会提示设置工作空间路径。
创建第一个 LED 控制工程
打开 TangDynasty IDE 后,通过菜单栏选择新建工程,在弹出的对话框中填写工程名称并选择目标器件型号。对于基础 LED 控制项目,通常选择入门级器件如 EG4 系列。
在新建的工程中添加 Verilog 源文件,编写简单的 LED 闪烁代码。例如以下代码实现 1Hz 的 LED 闪烁:
module led_blink(
input clk,
output reg led
);
reg [24:0] counter;
always @(posedge clk) begin
counter <= counter + 1;
if(counter == 25'd25000000) begin
led <= ~led;
counter <= 0;
end
end
endmodule
引脚约束与编译实现
在工程目录下创建约束文件,明确时钟和 LED 信号的物理引脚连接。例如:
set_pin_assignment { clk } { LOCATION = R7; IOSTANDARD = LVCMOS33 }
set_pin_assignment { led } { LOCATION = A10; IOSTANDARD = LVCMOS33 }
完成代码和约束后,点击编译按钮开始综合和实现过程。TangDynasty 工具会依次执行综合、布局布线、时序分析和比特流生成。编译过程中需关注警告信息,特别是时序违例相关的提示。
ModelSim 功能仿真验证
在 TangDynasty 中配置仿真工具路径,新建 Testbench 文件对设计进行验证。以下是一个简单的测试平台示例:
`timescale 1ns/1ps
module tb_led_blink;
reg clk;
wire led;
led_blink uut( .clk(clk), .led(led) );
initial begin
clk = 0;
forever #10 clk = ~clk;
end
initial begin
$dumpfile("wave.vcd");
$dumpvars(0, tb_led_blink);
#500000000 $finish;
end
endmodule
在 ModelSim 中运行仿真后,通过波形窗口观察 LED 输出信号的变化情况,确认其按照预期周期进行翻转。特别需要检查计数器逻辑和时钟分频是否正确实现。
下载调试与硬件验证
使用 USB 线连接开发板和电脑,在 TangDynasty 中打开编程工具。选择生成的 .bit 文件,设置正确的编程模式为 JTAG 或 SPI Flash。点击编程按钮将设计下载到 FPGA 中。
观察开发板上的目标 LED 指示灯,应该能看到约 1 秒间隔的规律闪烁。如果出现异常,可以检查以下方面:时钟频率设置是否正确、复位信号是否处理得当、引脚约束是否与原理图一致。通过 SignalTap 等嵌入式逻辑分析仪工具可以进一步调试内部信号。
7897

被折叠的 条评论
为什么被折叠?



