vhdl呼吸灯源码

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;


entity led is 
port (
clkin:in std_logic;
clkout:out std_logic
);
end entity;
architecture behave of led is 
signal mlow:std_logic_vector(9 downto 0);
signal mhigh:std_logic_vector(15 downto 0);
signal mcur:std_logic_vector(15 downto 0);
signal mtype:std_logic;
begin 
process(clkin)
begin 
	if(clkin'event and clkin='1')then
		mcur<=mcur+1;
		if(mcur="1111111111111111")then 
		mhigh<=(others=>'0');
		mcur<=(others=>'0');
		end if;
		mlow <=mlow+1;
		if(mlow="1111111111")then
			mlow<=(others=>'0');
			mhigh<=mhigh+1;
	    end if;
		if(  mhigh="1111111111111111")then
			mhigh<=(others=>'0');
			mtype<=not mtype;
		end if;
		if(mtype='1')then 
			if(mcur > mhigh)then 
			clkout<='1';
			else
			clkout<='0';
			end if;
		else
			if(mcur > mhigh)then 
			clkout<='0';
			else
			clkout<='1';
			end if;
		end if;
		
		
		--t_o<
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值