
Verdi快速实战指南
XtremeDV
行走在码农和硅农之间
展开
-
Verdi VC Apps Batch mode 使用
VERDI_HOME/share/VIA/Apps/Bin/ 目录下有各个Apps对应的perl脚本,我们使用该脚本来启动batch mode.是会报错的,因为找不到design的database。原创 2024-03-06 11:16:25 · 779 阅读 · 0 评论 -
交互式调试方法
在VCS中,假如使用two-step模式,先编译后仿真,当执行仿真命令时,如下命令即可进入该模式%> simv <simv_options> -verdi [-verdi_opts “<verdi_options>”]%> simv <simv_options> -gui=verdi [-verdi_opts “<verdi_options>”]或者在后处理模式下,点击菜单栏Simulation->Invoke Simulato..原创 2020-11-03 13:49:59 · 1286 阅读 · 0 评论 -
在原理图视图中进行调试
nSchema视图一览nSchema常用快捷操作(1)在原理图中,放大缩小操作按按键z缩小视图按按键shift+z放大视图按按键f自动适配视图鼠标左键,在原理图中从左上到右下画矩形,来放大特定区域鼠标左键,在原理图中从左下到右上画线,来缩小特定区域鼠标左键,在原理图中从右下到左上画线,来返回上一级模块视图快捷键p进入, shift+p返回在原理图中,按按键x,启动Active AnnotationnSchema常用快捷操作(2)双击符原创 2020-11-03 13:49:49 · 693 阅读 · 0 评论 -
在波形视图中调试
打开nWave frame在nTrace中, 使用Tools ->New Waveform 或者点击工具栏中的新波形图标使用前面讲到的命令行方式载入设计-加载KDB%> verdi -ssf novas.fsdb –nologo&nWave frame一览加载仿真结果在nWave中, 使用File -> Open或者点击工具栏图标加载通过$dumpvars生成的VCD文件,加载的时候VCD文件自动转换为FSDB文件加载$fsdbdum原创 2020-11-03 13:49:39 · 1239 阅读 · 0 评论 -
在源码视图调试
nTrace源代码浏览器nTrace主窗口是一个源代码浏览器和分析器,以显示所选设计模块的层次结构和源代码不管是用什么方式载入设计后,Verdi将自动调用nTrace窗口nTrace窗口由三个可调节大小的子窗口组成信号列表使用View -> Signal List打开对应窗口,通常位于源码窗口和设计树窗口中支持正则表达式查找信号支持设定信号类型(输入,输出等)Ctrl+w将信号添加到nWave窗口右键菜单显示查找操作如果设计层次结构很深,则Source -&g原创 2020-11-03 13:49:29 · 723 阅读 · 0 评论 -
启动Verdi及加载设计
Command line方式通过kdb导入通过设计文件导入通过lib方式导入GUI方式通过设计文件导入通过lib方式导入命令行方式载入设计—载入KDB首先需要使用VCS编译整个设计,并且生成VCS数据库和Verdi KDB2步或者3步流程,在编译时,都加上–kdb,会生成kdb.elab++文件夹%> verdi -simflow –dbdir [<path>] -top [<top module>]如果top没有指定,默认使用...原创 2020-11-03 13:49:18 · 3849 阅读 · 0 评论 -
生成FSDB波形
3.3.1 快速生成FSDB波形在testbench中添加FSDB系统函数`timescale 1ns/1nsmodule test; initial begin $fsdbDumpfile("test.fsdb"); $fsdbDumpvars(0,test); end...endmodule在TCL文件中调用FSDB系统函数%> irun top.v -access +r +tcl+cmd.tclcall fsdbDumpfile "my.fsdb"call原创 2020-11-02 15:49:04 · 3284 阅读 · 0 评论 -
Verdi的启动和设置
3.2.1 Verdi 环境变量设置在 .cshrc 中添加以下设定:setenv LM_LICENSE_FILE xxx:$LM_LICENSE_FILEsetenv VERDI_HOME <Verdi_install_path>setenv PATH ${VERDI_HOME}/bin:${PATH}setenv LD_LIBRARY_PATH ${VERDI_HOME}/share/PLI/VCS/LINUX64:${VERDI_HOME}/share/PLI/lib/LIN原创 2020-11-02 15:47:06 · 13698 阅读 · 2 评论 -
Verdi简介
3.1.1 Verdi的历史相信做IC验证的朋友或多或少都使用过VCS和Verdi这两个工具,这两个工具目前都属于synopsys公司,但是Verdi的来源可谓一路坎坷。Verdi最开始是由novas公司设计的,在2008年,被台湾的EDA厂家springsoft(源笙)收购了(我我最早使用Verdi的时候,是上海源笙提供培训)。在2012年,synopsys收购了spring soft公司,所以此时Verdi才正式属于synopsys。联想一下,我们使用Verdi的时候会产生novas.rc和nov原创 2020-11-02 15:38:37 · 29148 阅读 · 1 评论