【Modelsim常见问题】Error deleting “msim_transcript”:permission den...

本文由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

 

问题现象
Error deleting “msim_transcript”:permissiondenied.
Check the NativeLink log file

 

问题原因
         同一工程Modelsim窗口多开。
解决方法
关闭正在运行的modelsim软件,再启动仿真。

基于SpringBoot网上超市,系统包含两种角色:用户、管理员,系统分为前台和后台两大模块,主要功能如下: 1 管理员功能实现 商品信息管理 管理员可以通过提交商品名称查询商品,并查看该商品的用户评论信息。 用户管理 管理员通过提交用户名来获取用户资料,对有异常情况的用户信息进行修改,并可以详细查看用户资料。 商品评价管理 管理员审核用户对商品的评价,经过审核的评价才会显示,并可以统计商品评价信息。 已支付订单 管理员查看已支付的订单,并逐个进行订单发货。 2 用户功能实现 商品信息 用户可以收藏、立即购买商品,或对商品进行评价,同时将商品添加到购物车。 购物车 用户可以直接下单购买购物车中的商品,或删除购物车中的商品。 确认下单 用户选择地址,查看支付金额信息,以确认订单之前的所有细节。 已支付订单 用户查看已支付的订单,若对购买商品产生后悔,可以申请退款。 二、项目技术 开发语言:Java 数据库:MySQL 项目管理工具:Maven Web应用服务器:Tomcat 前端技术:Vue、 后端技术:SpringBoot框架 三、运行环境 操作系统:Windows、macOS都可以 JDK版本:JDK1.8以上版本都可以 开发工具:IDEA、Ecplise都可以 数据库: MySQL 5.7/8.0版本均可 Tomcat:7.x、8.x、9.x版本均可 Maven:任意版本都可以
好的,以下是一个4位长除法器的VHDL测试文件示例,文件名为tb_LongDivision_4bit.vhdl: ```vhdl library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_LongDivision_4bit is end tb_LongDivision_4bit; architecture behavior of tb_LongDivision_4bit is -- Component declaration for the Unit Under Test (UUT) component LongDivision_4bit Port ( clk : in std_logic; reset : in std_logic; dividend : in std_logic_vector(3 downto 0); divisor : in std_logic_vector(3 downto 0); quotient : out std_logic_vector(3 downto 0); remainder : out std_logic_vector(3 downto 0) ); end component; -- Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal dividend : std_logic_vector(3 downto 0) := (others => '0'); signal divisor : std_logic_vector(3 downto 0) := (others => '0'); -- Outputs signal quotient : std_logic_vector(3 downto 0); signal remainder : std_logic_vector(3 downto 0); -- Clock period constant clk_period : time := 10 ns; begin -- Instantiate the Unit Under Test (UUT) uut: LongDivision_4bit Port map ( clk => clk, reset => reset, dividend => dividend, divisor => divisor, quotient => quotient, remainder => remainder ); -- Clock process clk_process : process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- Reset the system reset <= '1'; wait for clk_period; reset <= '0'; -- Test case 1 dividend <= "1100"; -- 12 divisor <= "0011"; -- 3 wait for clk_period; assert quotient = "0100" report "Test case 1 failed: quotient" severity error; assert remainder = "0000" report "Test case 1 failed: remainder" severity error; -- Test case 2 dividend <= "0111"; -- 7 divisor <= "0010"; -- 2 wait for clk_period; assert quotient = "0011" report "Test case 2 failed: quotient" severity error; assert remainder = "0001" report "Test case 2 failed: remainder" severity error; -- Test case 3 dividend <= "1010"; -- 10 divisor <= "0011"; -- 3 wait for clk_period; assert quotient = "0011" report "Test case 3 failed: quotient" severity error; assert remainder = "0001" report "Test case 3 failed: remainder" severity error; -- Test case 4 dividend <= "1111"; -- 15 divisor <= "0101"; -- 5 wait for clk_period; assert quotient = "0011" report "Test case 4 failed: quotient" severity error; assert remainder = "0000" report "Test case 4 failed: remainder" severity error; -- End of test report "End of test" severity note; wait; end process; end behavior; ``` 这个测试文件包含了四个测试用例,分别测试了不同的除法操作。时钟周期设定为10纳秒,并且每个测试用例都会在时钟上升沿进行断言检查,以确保除法器的输出结果正确。
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值