
FPGA
FPGA相关学习记录
Randall_FPGA
这个作者很懒,什么都没留下…
展开
-
跨时钟域时钟约束
set_false_path -from [get_clocks CLKA] -to [get_clocks CLKB]set_false_path -from [get_clocks CLKB] -to [get_clocks CLKA]等效于set_clock_groups -group CLKA -group CLKB原创 2021-11-29 17:18:57 · 1723 阅读 · 0 评论 -
delay延时模块
module delay #(parameter RST_EN = 0,parameter DLY_CLK = 1,parameter DATA_WIDTH = 8)(input sys_clk ,input rst_n ,input [DATA_WIDTH - 1 : 0] din ,output [DATA_WIDTH - 1 : 0] dout );reg [原创 2021-07-28 19:45:55 · 983 阅读 · 0 评论 -
占空比50%,任意奇数分频模块
module odd_divclk #(parameter DIV = 5 ,parameter DIV_WIDTH = 3)(input clk_in ,input rst_n ,output clk_out);reg [DIV_WIDTH - 1:0] cnt_p = 0;reg [DIV_WIDTH - 1:0] cnt_n = 0;reg clk_p = 0;reg原创 2021-07-26 16:52:20 · 165 阅读 · 0 评论