知识点:
TLM定义:TLM是事务级建模的缩写,所谓transaction level是相对于DUT中各个模块之间信号线级别的通信来说的,就是把某一个特定功能的一组信息封装成一个类。端口类型可以分为三种:port、export和imp。
单项通信就是从initiator到target之间的数据流向是单一的,多向通信指的是initiator与target之间相同的TLM端口数目超过一个的时候的解决办法。
多向通信的宏命名方式:

通信管道:需要在两个组件中例化端口,然后同时在target中实现相应的传输方法,uvm_tlm_fifo类是一个新的组件,继承于uvm_component,已经预先定义了多个端口实现了多个对应方法可以使用。uvm_tlm_fifo功能类似mailbox
1、TLIM单向通信和多项通信:

1.1 在monitor中的用来与checker中的邮箱通信的mon_mb句柄替换为对应的uvm_blocking_put_port类型。
class chnl_monitor extends uvm_monitor;
local virtual chnl_intf intf;
uvm_blocking_put_port #(mon_data_t) mon_bp_port;
`uvm_component_utils(chnl_monitor)
function new(string name="chnl_monitor", uvm_component parent);
super.new(name, parent);
mon_bp_port = new("mon_bp_port", this);
endfunction
task mon_trans();
mon_data_t m;
forever begin
@(posedge intf.clk iff (intf.mon_ck.ch_valid==='b1 && intf.mon_ck.ch_ready==='b1));
m.data = intf.mon_ck.ch_data;
mon_bp_port.put(m);
`uvm_info(get_type_name(), $sformatf("monitored channel data 'h%8x", m.data), UVM_HIGH)
end
endtask
endclass: chnl_monitor
以前在SV里面是通过mailbox的句柄进行传递的,mailbox #(mon_data_t) mon_mb; 在uvm里面通过替换为对应的uvm_blocking_put_port 类型,function new里面进行例化,只能采用new()的形式,因为是object组件;以前是通过mailbox的句柄往里面put传输数据,现在是通过端口往里面put,所以把句柄更改为端口名称就可以了。无论是chnl_pkg还是fmt_pkg、reg_pkg都是一样的,都是在monitor里面传递的。
1.2 声明import的端口类型,并且完成例化:
`uvm_blocking_put_imp_decl(_chnl0)
`uvm_blocking_put_imp_decl(_chnl1)
`uvm_blocking_put_imp_decl(_chnl2)
`uvm_blocking_put_imp_decl(_fmt)
`uvm_blocking_put_imp_decl(_reg)
`uvm_blocking_get_peek_imp_decl(_chnl0)
`uvm_blocking_get_peek_imp_decl(_chnl1)
`uvm_blocking_get_peek_imp_decl(_chnl2)
`uvm_blocking_get_imp_decl(_reg)
uvm_blocking_put_imp_chnl0 #(mon_data_t, mcdf_checker) chnl0_bp_imp;
uvm_blocking_put_imp_chnl1 #(mon_data_t, mcdf_checker) chnl1_bp_imp;
uvm_blocking_put_imp_chnl2 #

本文介绍UVM中的高级通信机制,包括TLM通信的具体实现、通信管道的应用、UVM回调类的设计方法及仿真控制手段。重点讲解了TLM单向与多向通信的实现过程,UVM回调类在测试中的应用,以及如何通过设置仿真时间和信息冗余度来控制UVM仿真的行为。
最低0.47元/天 解锁文章
567

被折叠的 条评论
为什么被折叠?



