自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(74)
  • 资源 (8)
  • 收藏
  • 关注

原创 【IC】STA计算

它们为具有单个接收器的 π 形互连提供了一种封闭形式,以有效地计算目标电压范围内的有效电容,同时迭代求解接收器输入端的压摆,以从 CSM 接收器模型中获得准确的值。给定一个 input waveform,stage timing calculator 作为 gate-level STA 的主要构建块,通过逻辑门和互连线传播信号和波形,二者决定了延时和转换时间以及每级的到达时间。基于图的 STA 仅传播最差的时序,但通过与路径搜索相结合,基于图的 STA 可以提取所有感兴趣的关键路径。

2025-04-17 19:14:05 373

原创 【IC】怎么做DTCO?

DTCO 如何做DTCO

2025-04-17 10:33:05 753

原创 【Python】小游戏示例

【Python】小游戏示例。

2025-03-23 18:13:17 116

原创 【Python】stable baseline3算法示例

【代码】【Python】stable baseline3算法示例。

2025-03-23 18:12:06 136

原创 【EDA】基于pygame的方块移动案例

pygame 方块移动 按键 鼠标

2025-03-06 17:49:58 250

原创 【EDA】仅靠人工智能还不足以进行芯片设计

传统搜索和机器学习的结合可能是未来的发展方向自1971 年Federico Faggin仅用直尺和彩色铅笔绘制出第一款商用微处理器Intel 4004以来,芯片设计已经取得了长足的进步。如今的设计师拥有大量软件工具来规划和测试新的集成电路。但随着芯片变得越来越复杂(有些芯片包含数千亿个晶体管),设计师必须解决的问题也越来越多。而这些工具并不总是能胜任这项任务。现代芯片工程是一个由九个阶段组成的迭代过程,从系统规范到 封装。

2025-03-04 15:19:38 778

原创 【EDA】终结芯片设计研究的丑陋篇章--研究试图解决关于谷歌芯片设计人工智能的激烈分歧

macro placement

2025-03-04 11:44:40 603

原创 【MED】前交叉韧带撕裂

前交叉韧带撕裂

2025-02-25 10:22:01 987

原创 【IC】温度感知芯片微架构设计

近年来,微处理器的功率密度每三年翻一番 [3, 17],随着特征尺寸和频率的扩展速度快于工作电压,预计这一速度将在一到两代内增加 [25]。由于微处理器消耗的能量转化为热量,因此热密度的相应指数级增长在可靠性和制造成本方面造成了巨大的困难。在任何功耗水平下,都必须从微处理器芯片表面去除产生的热量,对于当今除最低功率设计之外的所有设计,这些冷却解决方案都变得昂贵。

2025-02-20 11:59:48 1007

原创 【IC】bookshelf格式

bookshelf placement ISPD DAC ICCAD

2025-02-16 17:58:25 86

原创 【IC】Redhawk-SC输入件配置

Redhawk sc

2025-02-16 15:52:22 520

原创 【IC】TSMC和Intel先进封装

先进封装 TSMC Intel

2025-02-13 17:27:33 275

原创 【IC】Pelgrom’s Law-- 佩尔格罗姆定律

特别是在先进工艺下,如果晶体管要做的更小,缩小沟道长度和沟道宽度等指标,则工艺偏差会变大。Pelgrom’s Law-- 佩尔格罗姆定律。

2025-02-13 17:11:52 440 2

原创 【IC】IEDM 2024

IDEM 2024

2025-02-13 15:25:49 930

原创 【IC】AI处理器核心--第二部分 用于处理 DNN 的硬件设计

AI core 处理器 硬件加速 DNN

2025-02-12 17:12:07 1394

原创 【IC】AI处理器核心--第一部分 了解深度神经网络

本书对实现深度神经网络 (DNN) 高效处理的关键原则和技术进行了结构化处理。DNN 目前广泛用于许多人工智能 (AI) 应用,包括计算机视觉、语音识别和机器人技术。虽然 DNN 在许多 AI 任务上提供了最先进的准确性,但它的代价是计算复杂性很高。因此,能够在不牺牲准确性或增加硬件成本的情况下,能够高效处理深度神经网络以提高关键指标(例如能效、吞吐量和延迟)的技术对于在 AI 系统中实现 DNN 的广泛部署至关重要。该书包括 DNN 处理的背景;用于设计 DNN 加速器的硬件架构方法的描述和分类;

2025-02-11 15:04:12 1371

原创 【IC】DFT、Scan和 ATPG

dft atpg scan

2025-02-10 19:35:56 954

原创 【IC】mem、macro功耗计算时模式选择--全翻转

mem IR 功耗 模式 全翻转

2025-02-10 14:58:18 242

原创 【IC】MOM、MIM 和 MOS 电容器之间的区别

MIM MOM MOS CAP

2025-02-07 16:12:30 1976

转载 【IC】大小核架构:Ten Things to Know About big.LITTLE

大小核架构

2025-01-23 16:05:47 27

原创 【EDA】DSE-使用pymoo进行多目标优化

DSE pymoo

2025-01-21 20:29:28 223

原创 【EDA】从金融危机到芯片良率

yield crisis

2024-12-30 16:27:21 143

原创 【EDA】Gate Sizing算法

gate sizing 逻辑优化

2024-12-27 16:02:59 231

原创 【IC】TSMC 2024 OIP解读--先进工艺发展历程:从N5到A16,从A16到未来

TSMC 先进工艺 2024 OIP 解读

2024-12-23 17:39:19 585

原创 【IC】FinFlex技术

FinFlex TSMC

2024-12-22 15:50:07 124

原创 【IC】Hybrid Bonding技术

hybrid bonding

2024-12-20 16:14:34 902

原创 【EDA】logic synthesis逻辑综合简介

逻辑综合

2024-12-16 15:40:57 247

原创 【IC】innovus CCOpt中的各种sink type区别

innovus CCOpt sink type

2024-12-05 20:02:10 701

原创 【IC】innovus 跑place时候报scan chain覆盖率不够

scan chain innovus

2024-12-05 16:56:52 167

原创 【IC】静态功耗和动态功耗优化比如何设置?

leakage power dynamic power 优化比例设置

2024-12-05 11:33:24 328

转载 【IC】DDR,总线,PCIE技术分析

DDR

2024-12-01 13:11:13 65

转载 【转载】在麻省理工交换学习的经历,让我更坚定地「做自己」

要说 2018 年的高光时刻,应该就是我去 MIT (麻省理工学院)进行了 2018 年秋季学期的本科生交流。作为一名 985 大学的大四学生,在大四上学期,我有幸成功参加了MIT Undergraduate Special Student Program。这个项目让我像一个 MIT 本科生一样,在 MIT 选课、学习和生活。在 MIT 的学习生活无疑是丰富精彩的,但这一学期毕竟不能代表整年。回首 2018 年,着重于这段经历,并把战线拉长,从申请前的焦虑到拿到录取的兴奋,再到整个学期的开阔眼界,和交换期

2024-11-30 20:10:58 127

原创 【IC】Genus功耗优化

Genus功耗优化

2024-11-28 16:32:30 321

原创 【IC】3D blox:3DIC设计新范式

3D blox

2024-11-27 10:36:07 211

原创 【EDA】floorplanning基础入门

floorplanning

2024-11-24 17:56:50 630

原创 【EDA】巴西坚果效应

(d)在具有双电层的带电离子的有效图片中(以紫色表示),在较小粒子多于大粒子的高度处,较大的高电荷粒子周围形成耗尽层。粒子加耗尽层(虚线圆圈)的有效密度低于由溶剂和低电荷小粒子组成的周围流体,从而产生向上的浮力。(c)在低盐浓度,负离子在整个样品中建立局部电荷中的熵成本太高,这会导致破坏容器底部的局部电荷中性,而容器底部的整体颗粒密度最高。(b)带电胶体不需要外部驱动:带点粒子与周围溶剂分子和离子的碰撞而表现出布朗运动(插图)。(a)在粒子系统中,粒子可以在外部能量的输入下抵抗重力运动。

2024-11-21 16:12:16 173

原创 【IC】DTCO

DTCO

2024-11-12 11:48:41 933

原创 【EDA】芯片热仿真原理

热仿真原理

2024-11-10 17:18:30 364

转载 【IC】热传递的三种方式:传导、辐射、对流

热传递

2024-11-08 11:46:41 1051

原创 【EDA】凸优化解chip floorplanning问题

凸优化 floorplan 芯片

2024-11-07 16:03:44 254

Efficient Processing of Deep Neural Networks

This book provides a structured treatment of the key principles and techniques for enabling efficient processing of deep neural networks (DNNs). DNNs are currently widely used for many artificial intelligence (AI) applications, including computer vision, speech recognition, and robotics. While DNNs deliver state-of-the-art accuracy on many AI tasks, it comes at the cost of high computational complexity. Therefore, techniques that enable efficient processing of deep neural networks to improve key

2025-01-21

Hardware Architectures for Deep Learning

内容概要:本文系统地探讨了针对卷积神经网络(CNN)和其他神经网络模型的硬件加速器设计与优化方法,特别关注降低功耗、提高运算速度和减少存储访问量。文中深入剖析了几种关键的技术手段,如FPGA上的低延迟推理加速器设计、多核心并行计算、计算复用以及内存带宽复用等。此外,书中还介绍了一些经典的CNN模型如AlexNet、VGG和ResNet的应用和发展历程,展示了它们从最初的简单结构演变为如今高度复杂的架构的过程。最后,探讨了二值神经网络(BNN)的发展及其面临的挑战,并提出了一些可能的改进方向。 适合人群:从事嵌入式系统、FPGA加速器设计的专业技术人员,尤其是那些希望深入了解卷积神经网络及其他AI应用背后的硬件支撑的研究者。 使用场景及目标:帮助读者掌握如何通过创新性的硬件设计来支持日益复杂的人工智能任务。具体来说,可以应用于需要高效能低能耗计算能力的实际产品开发当中,比如智能监控设备、移动终端设备以及物联网边缘计算节点等。此外也可以作为高校或者科研院所相关专业学生的参考资料。 阅读建议:由于本书涉及多个学科领域的交叉融合,因此推荐先了解基础概念,再逐步跟随章节内容探索高级特性;同时鼓励实验操作验证理论知识点,以加深理解和促进实际项目应用中的迁移能力提升。

2025-01-21

IC3D blox:3DIC设计新范式

3D blox介绍ppt,公开可查资料,只是搬运工

2024-11-27

EDAfloorplanning

介绍了physical design的floorplanning问题

2024-11-24

巴西坚果效应,描述了巴西坚果效应在带电胶体系统中的特性

巴西坚果效应,描述了巴西坚果效应在带电胶体系统中的特性

2024-11-21

DTCO,使用ML方法获得最佳的工艺recipe、std cell等等

DTCO,使用ML方法获得最佳的工艺recipe、std cell等等

2024-11-12

EDAhmetis使用手册

EDAhmetis使用手册

2024-10-22

CCF芯片大会芯片知识集

关于芯片大会的模拟EDA、chiplet和学术新兴论坛的相关内容

2022-08-23

Altium designer 18- PCB Logo Creator

PCB LOGO creator, 支持AD 18,使用方法:下载完毕放置在logs或其他AD目录下,AD中运行脚本,选择文件,打开后load选择图片,选择层,运行,即可在AD中导入图片或logo。

2019-03-05

FPGA/ASIC高性能数字系统设计_part2

part2,一共两个part。《FPGA/ASIC高性能数字系统设计》是2011年1月1日电子工业出版社出版的图书,作者是李洪革。

2018-09-17

FPGA/ASIC高性能数字系统设计_part1

《FPGA/ASIC高性能数字系统设计》是2011年1月1日电子工业出版社出版的图书,作者是李洪革。 写的很好,分享给大家,高清PDF版。。。这是part1,一共part2

2018-09-17

区块链资料礼包

区块链技术及发展,腾讯区块链发展白皮书,中国区块链技术和应用发展白皮书

2018-02-03

求职简历集合

包括金融、咨询、银行、会计、快消、互联网、商科等简历模版,简单朴实,使用的时候按照自己情况进行替换或选取,切勿完全照抄。

2017-04-29

MSP430G2553 DAC+ADC 简单应用,用nokia 5110显示 CCS6.0编写

使用外扩的DAC8411,连线方式请看DAC8411的技术手册和代码里dac.c的定义。本代码实现功能是用稳压电源输入任意电压(0-3.6v)在dac输出端输出同样电压。同时NOKIA5110上同步显示真实电压.

2014-09-17

诺基亚5110显示屏 MSP430G2553实例程序 CCS6.0编写

诺基亚5110显示屏 MSP430G2553实例程序 CCS6.0编写 字模软件网上找,太多了 就叫zimo 还有,代码中设置汉字为12*16,所以在使用字模软件时字体必须小于五号字,否则无法正常显示.

2014-07-21

山东大学物理学院2010年基地班 力学 期末考试试题

山东大学物理学院2010年基地班 力学 期末考试试题 。。供之后的学弟学妹们参考.。。。。。。。。

2013-08-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除