UVM phase机制(二)

UVM phase机制执行流程详解
本文详细介绍了UVM相位机制,从m_phase_hopper.get(phase)的五个步骤出发,解析了UVM如何按顺序执行相位。重点讨论了build_phase在UVM_PHASE_EXECUTING阶段构建环境的过程,以及run_phase、run_time_phase的执行特点,特别是objection机制的作用。最后提到了extract_node、check_node、report_node和finial_node的执行顺序。

UVM中所有的phase是按照一定的顺序执行的,那么UVM是如何组织的?下面我们详细说明一下。
首先在phase运行之前已经生成了完整的common domain,这个common domain中的node就是执行的顺序。牵扯到的核心函数是

task uvm_phase::m_run_phases();
  uvm_root top = uvm_root::get();

  // initiate by starting first phase in common domain
  begin
    uvm_phase ph = uvm_domain::get_common_domain();
    void'(m_phase_hopper.try_put(ph));
  end

  forever begin
    uvm_phase phase;
    m_phase_hopper.get(phase);
    fork
      begin
        phase.execute_phase();
      end
    join_none
    #0;  // let the process start running
  end
endtask

变量m_phase_hopper是一个mailbox;

第一步:m_phase_hopper.get(phase):

此时拿到的phase是common_ domain,接着执行代码common_domain::execute_phase()
这个phase的phase_type类型是domain,意味着不会真正执行任何操作,接下来put到m_phase_hopper的是common_domain的唯一后继build_node;

第二步:m_phase_hopper.get(phase):

此时拿到的ph

UVM采用phase机制来自动化运行testbench各个仿真过程,支持显示或隐式的同步方案,以及运行过程中的线程控制和跳转。用户只需把代码填入对应的phase,代码就会自动在正确的时间执行[^2]。 phase机制的意义在于使UVM的运行层次化,保证各种例化先后次序正确。传统硬件设计模型在仿真开始前完成例化和连接,SV软件部分对象例化在仿真开始后通过new()实现,但简单的new无法解决验证环境层次化时例化先后关系、组件例化后连接的问题,也无法在底层组件例化前完成对底层的配置。而UVM引入phase机制可清晰将UVM仿真阶段层次化,这里的层次化不仅指各个phase先后执行顺序,同一phase中层次化组件间的phase也有先后关系[^1][^3]。 UVMphase主要有9个,外加12个小phase,这12个小的phase称为run - time phase。其中除了run phase和12个小的phase是task之外,其余的都是function。比较常用的phase有build_phase、connect_phase、reset_phase、main_phase、run_phase、report_phase、final_phase等[^1]。 ### 代码示例 以下为一个简单示例,展示如何在UVM中使用`build_phase`和`main_phase`: ```systemverilog class my_env extends uvm_env; `uvm_component_utils(my_env) function new(string name, uvm_component parent); super.new(name, parent); endfunction virtual function void build_phase(uvm_phase phase); super.build_phase(phase); // 在此处添加构建环境的代码 `uvm_info("BUILD_PHASE", "Building the environment", UVM_LOW) endfunction virtual task main_phase(uvm_phase phase); phase.raise_objection(this); // 在此处添加主要的测试代码 `uvm_info("MAIN_PHASE", "Running the main test", UVM_LOW) #100ns; // 模拟一些操作 phase.drop_objection(this); endtask endclass ```
评论
成就一亿技术人!
拼手气红包6.0元
还能输入1000个字符
 
红包 添加红包
表情包 插入表情
 条评论被折叠 查看
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值