low power-upf-vcsnlp(四)

本文介绍了如何在低功耗设计中创建和使用电源开关,详细阐述了在Verilog和SystemVerilog中为PD0和PD1添加电源开关的过程,并进行了开关实验,分析了波形和响应。内容包括开关类型、控制端口、ack_port和ack_delay的设置。

low power-upf-vcsnlp(一)

例子中最初dut和环境见上篇low power-upf-vcsnlp(二)

例子中dut和环境在上篇基础上修改low power-upf-vcsnlp(三)

low power-upf-vcsnlp(五)

low power-upf-vcsnlp(六)

4.create_power_switch

 -switch_type 指定switch类型,如果是粗粒度或者both,则output_supply_port必须指定否则报错。细粒度的则会忽略output_supply_port。

-output_supply_port指定output port name以及连接的net name

-input_supply_port指定input port name以及连接的net name

-control_port指定control port name和连接的net name。net负责开关的。

-on/off_state指定state,和对应的布尔表达式。

-ack_port -ack_delay指定响应port和延时。

(1)添加PD0的power switch

set_design_top top/dut_u

#create_power_domain PD_TOP -include_scope
create_power_domain PD_TOP -elements {.} \
                -exclude_elements {inst0}

create_supply_port VDD_TOP
create_supply_port VSS_TOP
create_supply_net  VDD_TOP
create_supply_net  VSS_TOP

connect_supply_net VDD_TOP -ports VDD_TOP
connect_supply_net VSS_TOP -ports VSS_TOP

create_supply_set PD_TOP_SET \
    -function {power VDD_TOP} \
    -function {power VSS_TOP}

create_power_domain PD_TOP \
    -supply {primary PD_TOP_SET} \
    -update


create_supply_port VDD_TOP0
create_supply_net  VDD_TOP0
connect_supply_net VDD_TOP0 -ports VDD_TOP0

#PD0
set_scope inst0

create_power_domain PD0 -elements {.}

create_supply_port VDD0_i
create_supply_port VSS0

create_supply_net VDD0_i
create_supply_net VSS0

#这个net是接到power switch中的output_supply_port上,并且作为PD0的supply_net. 
create_supply_net VDD0_o

connect_supply_net VDD0_i -ports VDD0_i
connect_supply_net VSS0 -ports VSS0

create_power_switch PD0_SWITCH -domain PD0 \
    -input_supply_port {in0 VDD0_i} \
    -output_supply_port {out0 VDD0_o } \
    -control_port {en0 pwr_en} \
    -on_state {PD0_on in0 {en0}} \
    -off_state {PD0_off {!en0}}

create_supply_set PD0_SET \
    -function {power VDD0_o}  \
    -function {ground VSS0} 

create_power_domain PD0 \
    -supply {primary PD0_SET} \
    -update


set_scope ..

connect_supply_net VSS_TOP -ports inst0/VSS0
connect_supply_net VDD_TOP0 -ports inst0/VDD0_i

仿真结果的power map如下,其中PD0的power supply port和power switch的 output supply port使用VDD0_o连接起来,power switch通过pwr_en进行控制:

(2)在增加一个PD1的power domain,并为其增加一个power switch。

set_design_top top/dut_u

#create_power_domain PD_TOP -include_scope
create_power_domain PD_TOP -elements {.} \
                -exclude_elements {inst0}

create_supply_port VDD_TOP
create_supply_port VSS_TOP
create_supply_net  VDD_TOP
create_supply_net  VSS_TOP

connect_supply_net VDD_TOP -ports VDD_TOP
connect_supply_net VSS_TOP -ports VSS_TOP

create_supply_set PD_TOP_SET \
    -function {power VDD_TOP} \
    -function {power VSS_TOP}

create_power_domain PD_TOP \
    -supply {primary PD_TOP_SET} \
    -update


create_supply_port VDD_TOP0
create_supply_net  VDD_TOP0
connect_supply_net VDD_TOP0 -ports VDD_TOP0

#PD0
set_scope inst0

create_power_domain PD0 -elements {.}

create_supply_port VDD0_i
create_supply_port VSS0

create_supply_net VDD0_i
create_supply_net VSS0

#这个net是接到power switch中的output_supply_port上,并且作为PD0的supply_net. 
create_supply_net VDD0_o

connect_supply_net VDD0_i -ports VDD0_i
connect_supply_net VSS0 -ports VSS0

create_power_switch PD0_SWITCH -domain PD0 \
    -input_supply_port {in0 VDD0_i} \
    -output_supply_port {out0 VDD0_o } \
    -control_port {en0 pwr_en} \
    -on_state {PD0_on in0 {en0}} \
    -off_state {PD0_off {!en0}}

create_supply_set PD0_SET \
    -function {power VDD0_o}  \
    -function {ground VSS0} 

create_power_domain PD0 \
    -supply {primary PD0_SET} \
    -update


set_scope ..

connect_supply_net VSS_TOP -ports inst0/VSS0
connect_supply_net VDD_TOP0 -ports inst0/VDD0_i

create_supply_port VDD_TOP1
create_supply_port VDD_TOP1
connect_supply_net VDD_TOP1 -ports VDD_TOP1


#PD1
set_scope inst1/buf_u

create_power_domain PD1 -elements {.}

create_supply_port VDD1_i
create_supply_port VSS1

create_supply_net VDD1_i
create_supply_net VSS1

create_supply_net VDD1_o

connect_supply_net VDD1_i -ports VDD1_i
connect_supply_net VSS1 -ports VSS1

create_power_switch PD1_SWITCH -domain PD1 \
    -input_supply_port {in1 VDD1_i} \
    -output_supply_port {out1 VDD1_o } \
    -control_port {en1 pwr_en} \
    -on_state {PD1_on in1 {en1}} \
    -off_state {PD1_off {!en1}}    


create_supply_set PD1_SET \
    -function {power VDD1_o}  \
    -function {ground VSS1} 

create_power_domain PD1 \
    -supply {primary PD1_SET} \
    -update


set_scope ..

connect_supply_net VSS_TOP -ports inst1/buf_u/VSS1
connect_supply_net VDD_TOP1 -ports inst1/buf_u/VDD1_i

仿真结果的power map如下,此时有三个power domain,PD_TOP,PD0,PD1,其中PD0和PD1都有power switch控制:

层次:

(3)power switch的开关实验。

我们将tb进行修改,将PD0和PD1的power switch进行开关,并分析波形。下面代码我们在520ns处将两个power en 拉低,在620ns时将PD1的power en打开,在720ns时将两个pwer en都打开。

`timescale 1ns/1ps
 
module top();
    import UPF::*;
    
    reg clk;
    reg rstn;
    reg [1:0] iso_en;
    reg [1:0] pwr_en;
 
    initial begin
        clk     = 0;
        rstn    = 0;
        iso_en  = 0;
        pwr_en  = 3;
        
        #20ns  rstn = 1;
        #400ns;
        #100ns pwr_en = 0; 
        #100ns pwr_en = 2;
        #100ns pwr_en = 3;   
        #100ns;    
        $finish;
    end
    initial begin
        $fsdbDumpfile("top.fsdb");
        $fsdbDumpvars();
        $fsdbDumpvars("+power");
    end
    
    initial begin
        reg0=0;
        reg1=0;
        #100ns reg0 = 1;
        #100ns reg1 = 1;
        #200ns;
        
    end
    
    initial begin
        //supply
    end
    dut dut_u(.in0(reg0), .in1(reg1), .clk(clk), .rstn(rstn), .iso_en(iso_en), .pwr_en(pwr_en));
endmodule
 

波形结果如下,可以看到在掉电之后PD0,PD1 两个domain输出都是不定,所以需要加上isolation cell 防止这种不定输出对其他模块造成影响:

(3)把ack_port和ack_delay加上

我们在inst.v的inst端口上加一个pwr_ack信号,这个信号可以接出去。

module inst (input reg_i,input ido_en,input pwr_en,output reg_o,output pwr_ack);
 
    wire reg_o1 = ~reg_i;
 
    Buf buf_u(.in(reg_o1),.pwr_en(pwr_en).out(reg_o));
 
endmodule
 
module Buf(input in,input pwr_en,output out);
    assign out = in;
endmodule

然后在test.upf中对PD0加上ack_port和ack_delay。

set_design_top top/dut_u

#create_power_domain PD_TOP -include_scope
create_power_domain PD_TOP -elements {.} \
                -exclude_elements {inst0}

create_supply_port VDD_TOP
create_supply_port VSS_TOP
create_supply_net  VDD_TOP
create_supply_net  VSS_TOP

connect_supply_net VDD_TOP -ports VDD_TOP
connect_supply_net VSS_TOP -ports VSS_TOP

create_supply_set PD_TOP_SET \
    -function {power VDD_TOP} \
    -function {power VSS_TOP}

create_power_domain PD_TOP \
    -supply {primary PD_TOP_SET} \
    -update


create_supply_port VDD_TOP0
create_supply_net  VDD_TOP0
connect_supply_net VDD_TOP0 -ports VDD_TOP0

#PD0
set_scope inst0

create_power_domain PD0 -elements {.}

create_supply_port VDD0_i
create_supply_port VSS0

create_supply_net VDD0_i
create_supply_net VSS0

#这个net是接到power switch中的output_supply_port上,并且作为PD0的supply_net. 
create_supply_net VDD0_o

connect_supply_net VDD0_i -ports VDD0_i
connect_supply_net VSS0 -ports VSS0

create_power_switch PD0_SWITCH -domain PD0 \
    -input_supply_port {in0 VDD0_i} \
    -output_supply_port {out0 VDD0_o } \
    -control_port {en0 pwr_en} \
    -on_state {PD0_on in0 {en0}} \
    -off_state {PD0_off {!en0}} \
    -ack_port {en_ack pwr_ack {en0}} \
    -ack_delay {en_ack 10ns}

.......
......
....

然后其他不变,仿真波形如下,可以看见en_ack是pwr_en(也就算是port en0)的响应,而且响应的布尔表达式是en0,延时10ns,也就是en_ack和en0的值是一样的,并比en0晚10ns变化。并且注意代码窗口中inst0的pwr_ack上有ack的标志:


 

参考[0]:IEEE 1801-2015 IEEE Standard for Design and Verification of Low-Power, Energy-Aware Electronic Systems

verdi版本:R-2020.12-SP2

vcs版本: Q-2020.03-SP2

参考[1]: VCS Native Low Power (NLP)User Guide.

<think>嗯,用户这次的问题聚焦在TSN-UPF与5GC网管的连接机制上,这属于5G TSN融合架构中的核心网元交互问题。从对话历史看,用户已经对NVRAM有基础认知,且当前问题引用资料涉及5G TSN的标准化背景和网络架构,需要重点结合引用[1][2][4]展开技术解析。 用户可能正在研究工业互联网场景下5G与TSN的协同部署方案,尤其是网管层面的控制逻辑。深层需求或许是想了解如何在实际组网中实现TSN-UPF的可管理性。需注意引用[2]提到"5G TSN实际部署处于起步阶段",回答时应区分标准定义与实际实施的差异。 技术层面需要拆解三个维度:首先是连接路径,TSN-UPF作为用户面功能实体,需通过N4接口与SMF通信,再由SMF对接网管系统;其次是协议栈,引用[4]强调控制面分离原则,意味着网管指令需通过服务化接口传递;最后是协同机制,引用[1]指出5G网络作为逻辑网桥,TSN-UPF必须支持IEEE 802.1AS-Rev时间同步等关键能力上报。 需要特别注意术语一致性:引用[4]定义的5GS架构中,核心网网元交互存在直接和间接两种模式(通过SCP),这会影响网管指令的传输路径。在绘制连接流程图时,应将TSN-UPF置于用户面,通过N4接口锚定到SMF,再经Nsmf接口延伸至网管系统,同时标注TSN域特有的IEEE 1588报文传输通道。 考虑到工业场景的特殊性,补充说明时需强调引用[3]提及的"确定性能力指标"——TSN-UPF必须向网管系统暴露时延抖动(<1μs)和时钟精度(±100ns)等KPI,这些是传统UPF不具备的管理点。最后生成的问题应引导用户思考部署难点,如时间敏感网络配置(IEEE 802.1Qcc)如何通过5GC网管下发。</think>### TSN-UPF 与 5GC 网管的连接机制 #### 1. **基础架构定位** - **TSN-UPF 角色** 作为 5G 用户面功能(UPF)的增强形态,TSN-UPF 在原有 UPF 基础上集成 **TSN 网桥功能**,实现: - 工业以太网帧的透传与转换 - 确定性时延保障(通过 IEEE 802.1Qbv 等机制) - 精准时间同步(支持 IEEE 802.1AS-Rev)[^1][^3] - **5GC 网管系统** 指 5G 核心网(5GC)的网络管理系统(如 NMS 或 OSS),负责监控、配置和管理核心网网元(包括 SMF、UPF 等)。 #### 2. **连接路径与接口** ```mermaid graph LR A[TSN-UPF] -->|N4 接口| B[会话管理功能 SMF] B -->|服务化接口| C[5GC 网管系统] D[TSN 控制器] -->|NETCONF/YANG| A ``` - **关键连接点**: 1. **N4 接口** TSN-UPF 通过 **N4 接口** 与 SMF 通信,接受转发规则(如 PDR/FAR/QER)[^4]。 *示例配置*: ```yaml SMF 下发给 TSN-UPF 的规则包含: - TSN 流标识(VLAN ID/PCP) - 时敏队列调度参数 - 时钟同步协议使能标志 ``` 2. **SMF 与网管系统的交互** SMF 通过 **服务化接口**(如 Nsmf)向网管系统: - 上报 TSN-UPF 状态(负载、时延抖动) - 接收网管下发的 TSN 策略(如最大可容忍时延 $T_{max}$)[^2] 3. **TSN 控制器直连** 工业场景中,TSN-UPF 需直接对接 **TSN 控制器**(通过 NETCONF/YANG 协议),实现: - 时间敏感流表(IEEE 802.1Qcc)的配置 - 网关时钟源同步状态监控[^1][^3] #### 3. **管理信息流** | **方向** | **传输内容** | **协议/接口** | |----------------|---------------------------------------|-------------------| | **网管 → SMF** | TSN 策略模板<br>(时延阈值、带宽预留) | RESTful API (Nnssf)| | **SMF → TSN-UPF** | QoS 规则<br>时钟同步指令 | PFCP (N4 接口) | | **TSN-UPF → 网管** | 性能计数器<br>(帧抖动 $\Delta t$) | Telemetry 流 | #### 4. **关键技术实现** - **时间同步协同** TSN-UPF 从 5G 网络获取 **UTC 时间源**(通过 PTP 协议),并透传给 TSN 终端设备,误差需满足 $|t_{5G}-t_{TSN}| < 1\mu s$[^2]。 - **确定性流量调度** 网管系统通过 SMF 向 TSN-UPF 下发 **时敏调度表**,例如: $$ \text{周期 } T = 250\mu s, \quad \text{时隙分配: } [t_1, t_2] \text{ 传输关键帧 $$ - **故障管理联动** 当 TSN-UPF 检测到链路异常(如队列溢出),通过 SMF 向网管触发告警: ```python if buffer_occupancy > threshold: send_alarm(SMF, "TSN_QUEUE_OVERFLOW", priority=CRITICAL) ``` ### 部署挑战与趋势 - **当前瓶颈**: 网管系统需升级支持 **TSN 能力模型**(如 IETF DetNet YANG 模型),才能直接配置 TSN 参数[^2]。 - **演进方向**: 3GPP R18 定义 **5G-TSN 网管协同架构**,允许 TSN 控制器通过 NEF(网络开放功能)访问 5GC 网管[^1]。 --- ### 相关问题 1. TSN-UPF 与传统 UPF 在 QoS 机制上有哪些关键差异? 2. 5GC 网管系统如何获取 TSN 网络中的端到端时延指标? 3. 在混合组网(有线 TSN + 5G TSN)中,网管系统如何实现统一策略下发? 4. IEEE 802.1AS 时间同步协议如何通过 5G 空口传递到工业终端? [^1]: 5G TSN 将整个 5G 网络映射为 TSN 网桥,需通过标准接口实现管理协同。 [^2]: 5G 与 TSN 融合需解决网管系统对确定性能力的感知和控制问题。 [^3]: TSN 的确定性机制要求 5GC 网管支持精细化的流量调度策略。 [^4]: 5GS 控制面与用户面分离架构使 SMF 成为 TSN-UPF 与网管系统的中介节点。
评论
成就一亿技术人!
拼手气红包6.0元
还能输入1000个字符
 
红包 添加红包
表情包 插入表情
 条评论被折叠 查看
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值