设计程序
`timescale 1ns / 1ps
……………………………………………………………………………
module exm(
input sysclk,
input wire [15:0] carrier_int,
input wire [23:0] modulate_int,
input wire [3:0] depth,
output wire [7:0] modulate_signal,
output wire [7:0] carrier_signal,
output wire [17:0] modulated_signal,
output wire [7:0] demodulated_final
);
……………………………………………………………………………
……………………………………………………………………………
reg signed[8:0] depth_int;
reg [7:0] A = 127;
wire signed[16:0] modulate_depth;
wire signed[8:0] modulate_depth_out;
reg [9:0] modulate_A;
wire [23:0] modulated_final;
reg [23:0] modulated_signal_abs;
wire [39: