PS --D3D4

部署运行你感兴趣的模型镜像

1 学习了动作.就是说批量处理图片的大小并保存。
2 学习了如何使用通道抠图。让你需要扣的图通过色阶变的与其他颜色差别更大。(白的更白,黑的更黑)比如大树 头发。
学习了 叠加,比如字体像是从后边照亮一样。
滤镜渲染里的光晕效果,比如一束光。
3 前一天学习了图层的应用,
4 学习了 渐变(使东西看起来更有立体感)
调色。 正片叠底和滤色意思是相反的。叠底是去掉白色的背景,渐变映射,匹配颜色意思是换了个风格。色相,比如换裙子。蒙版。

您可能感兴趣的与本文相关的镜像

Qwen-Image-Edit-2509

Qwen-Image-Edit-2509

图片编辑
Qwen

Qwen-Image-Edit-2509 是阿里巴巴通义千问团队于2025年9月发布的最新图像编辑AI模型,主要支持多图编辑,包括“人物+人物”、“人物+商品”等组合玩法

`timescale 1ns / 1ps // ← 时间单位/精度声明 module Bubbling_sorting( input clk, // ← 系统时钟 input rst, // ← 异步高电平复位 input [7:0] data_in_0, // ← 10 路 8bit 输入数据 input [7:0] data_in_1, input [7:0] data_in_2, input [7:0] data_in_3, input [7:0] data_in_4, input [7:0] data_in_5, input [7:0] data_in_6, input [7:0] data_in_7, input [7:0] data_in_8, input [7:0] data_in_9, input start, // ← 外部拉高后开始排序 output reg done, // ← 排序完成后拉高一个周期 output reg [7:0] data_out_0, // ← 10 路 8bit 排序结果输出 output reg [7:0] data_out_1, output reg [7:0] data_out_2, output reg [7:0] data_out_3, output reg [7:0] data_out_4, output reg [7:0] data_out_5, output reg [7:0] data_out_6, output reg [7:0] data_out_7, output reg [7:0] data_out_8, output reg [7:0] data_out_9 ); //------------------------------------------------------------------ // 1. 状态机 //------------------------------------------------------------------ reg [2:0] state; // ← 状态寄存器 parameter IDLE = 3'b000, // ← 空闲 INIT = 3'b001, // ← 把输入搬进 temp_data SORT = 3'b010, // ← 冒泡排序 DONE = 3'b011; // ← 将结果搬出去 //------------------------------------------------------------------ // 2. 辅助计数器 //------------------------------------------------------------------ reg [3:0] round; // ← 外层循环:已排好多少个数 reg [3:0] step; // ← 内层循环:当前比较的下标 //------------------------------------------------------------------ // 3. 数据缓存 //------------------------------------------------------------------ reg [7:0] temp_data [0:9]; // ← 10 个 8bit 寄存器,存待排序数组 integer i; // ← for-loop 循环变量,放在模块级兼容旧版 Vivado //------------------------------------------------------------------ // 4. 主 always 块:状态机 + 排序算法 //------------------------------------------------------------------ always @(posedge clk or posedge rst) begin if (rst) begin state <= IDLE; done <= 1'b0; round <= 4'd0; step <= 4'd0; end else begin case (state) //------------------------------------------------------------------ // 4.1 IDLE:等待 start 信号 //------------------------------------------------------------------ IDLE: begin if (start) begin state <= INIT; done <= 1'b0; // ← 清完成标志 end end //------------------------------------------------------------------ // 4.2 INIT:把 10 路输入搬进 temp_data //------------------------------------------------------------------ INIT: begin for (i = 0; i < 10; i = i + 1) begin case (i) 4'd0: temp_data[i] <= data_in_0; 4'd1: temp_data[i] <= data_in_1; 4'd2: temp_data[i] <= data_in_2; 4'd3: temp_data[i] <= data_in_3; 4'd4: temp_data[i] <= data_in_4; 4'd5: temp_data[i] <= data_in_5; 4'd6: temp_data[i] <= data_in_6; 4'd7: temp_data[i] <= data_in_7; 4'd8: temp_data[i] <= data_in_8; 4'd9: temp_data[i] <= data_in_9; endcase end state <= SORT; // ← 转去排序 round <= 4'd0; step <= 4'd0; end //------------------------------------------------------------------ // 4.3 SORT:经典冒泡排序(时序版) // 每拍比较/交换一次,共需 9+8+…+1 = 45 拍 //------------------------------------------------------------------ SORT: begin if (round < 9) begin // ← 共 9 轮 if (step < 9 - round) begin // ← 每轮比较次数递减 if (temp_data[step] > temp_data[step+1]) begin // 交换相邻两个元素 temp_data[step] <= temp_data[step+1]; temp_data[step+1] <= temp_data[step]; end step <= step + 1'b1; // ← 下一对 end else begin // ← 本轮结束 round <= round + 1'b1; step <= 4'd0; end end else begin // ← 9 轮全部完成 state <= DONE; done <= 1'b1; // ← 拉高完成标志 end end //------------------------------------------------------------------ // 4.4 DONE:把排序结果搬出去 //------------------------------------------------------------------ DONE: begin for (i = 0; i < 10; i = i + 1) begin case (i) 4'd0: data_out_0 <= temp_data[i]; 4'd1: data_out_1 <= temp_data[i]; 4'd2: data_out_2 <= temp_data[i]; 4'd3: data_out_3 <= temp_data[i]; 4'd4: data_out_4 <= temp_data[i]; 4'd5: data_out_5 <= temp_data[i]; 4'd6: data_out_6 <= temp_data[i]; 4'd7: data_out_7 <= temp_data[i]; 4'd8: data_out_8 <= temp_data[i]; 4'd9: data_out_9 <= temp_data[i]; endcase end state <= IDLE; // ← 回到空闲,等待下一次 start end //------------------------------------------------------------------ // 4.5 默认:容错 //------------------------------------------------------------------ default: state <= IDLE; endcase end end endmodule画出状态转移图
07-15
root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker network ls NETWORK ID NAME DRIVER SCOPE 2739fee5cbe7 app_backend bridge local ff617b21b345 bridge bridge local 8e0d25532577 host host local f188bb3265e8 none null local root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker run -d --network=bridge -p 8091:8091 --link mysql_8_0_22 --name app account-system-docker:latest docker: Error response from daemon: Conflict. The container name "/app" is already in use by container "d3d15c8880219990cf1dd7396193f0534d05b18f00ab0cbb2ca7c39d5ce77641". You have to remove (or rename) that container to be able to reuse that name. Run 'docker run --help' for more information root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker ps CONTAINER ID IMAGE COMMAND CREATED STATUS PORTS NAMES dd1628fbcb8f rdsource.tp-link.com:8088/mysql:8.0.22 "docker-entrypoint.s…" 2 hours ago Restarting (1) 43 seconds ago mysql_8_0_22 root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker images REPOSITORY TAG IMAGE ID CREATED SIZE account-system-docker latest 765190bd3103 2 hours ago 532MB app_login latest 765190bd3103 2 hours ago 532MB rdsource.tp-link.com:8088/openjdk 17 5e28ba2b4cdb 3 years ago 471MB rdsource.tp-link.com:8088/mysql 8.0.22 d4c3cafb11d5 4 years ago 545MB root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker ps -a CONTAINER ID IMAGE COMMAND CREATED STATUS PORTS NAMES d3d15c888021 account-system-docker:latest "java -jar /account-…" 4 minutes ago Created app cfebc90afed6 app_login "java -jar /account-…" 2 hours ago Exited (1) 2 hours ago login dd1628fbcb8f rdsource.tp-link.com:8088/mysql:8.0.22 "docker-entrypoint.s…" 2 hours ago Restarting (1) 21 seconds ago mysql_8_0_22 root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker run -d --network=bridge -p 8091:8091 --link mysql_8_0_22 --name app-web account-system-docker:latest 674963a85e02ab516d5ebd1780b6ebfa0b52a7a88b54f843239116114cf35b87 docker: Error response from daemon: container dd1628fbcb8f4c41c5314eab84a078aea157cc0f1909fc94e9e037133406222e not attached to default bridge network Run 'docker run --help' for more information root@yz-virtual-machine:/mnt/hgfs/code-docker/app# docker ps -a CONTAINER ID IMAGE COMMAND CREATED STATUS PORTS NAMES 674963a85e02 account-system-docker:latest "java -jar /account-…" 22 seconds ago Created app-web d3d15c888021 account-system-docker:latest "java -jar /account-…" 6 minutes ago Created app cfebc90afed6 app_login "java -jar /account-…" 2 hours ago Exited (1) 2 hours ago login dd1628fbcb8f rdsource.tp-link.com:8088/mysql:8.0.22 "docker-entrypoint.s…" 2 hours ago Restarting (1) 58 seconds ago mysql_8_0_22
08-23
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值