按键去抖检测:软件与硬件实现

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

简介:在电子设计中,按键去抖是确保系统稳定性的关键技术,涉及到消除因物理按键的机械抖动引起的误识别。文章将详细探讨通过编程实现的软件去抖技术,以及利用Verilog HDL实现的硬件去抖方法。结合实际的代码和理论资料,讲解了去抖的时间窗口原理和两种去抖方式的实现过程。 按键实验_按键去抖检测_

1. 按键去抖的重要性

在数字化世界中,按键作为人机交互的基本元素,其性能直接影响用户体验和系统稳定性。然而,实际使用过程中,按键的机械动作会产生一种现象——“抖动”,这会带来不稳定的信号,导致错误的输入。因此,去抖(debouncing)处理成为确保按键输入准确性的关键步骤。

抖动的问题不仅限于物理按键,也包括触摸屏等虚拟按键,这是因为快速连续的信号变化可能会被误认为是多次独立的输入。为了解决这一问题,按键去抖技术应运而生,它通过软件或硬件的方法滤除不必要的信号波动,确保输入信号的稳定性和准确性。

本章将探讨去抖技术的必要性,解释其对提升设备性能及用户体验的重要性,并在后续章节深入阐述去抖技术的实现方法、原理以及最佳实践。

按键去抖技术通过滤除抖动信号,确保每次按键动作只产生一次响应,是提升设备稳定性和用户体验的关键技术。

下文将会详细讨论去抖技术的原理、不同的实现方法,以及如何在软件和硬件中有效地应用去抖技术。

2. 去抖技术的原理和时间窗口设定

2.1 去抖技术的基本原理

去抖技术是针对按键输入过程中出现的电气或机械抖动现象的一种处理方法。其目的是为了确保按键信号的稳定性和可靠性,避免由于抖动带来的错误信号输入。

2.1.1 按键机械特性分析

在机械开关中,当按键被按下或释放时,由于接触片的材料特性和压力因素,并不能立即达到稳定的接触状态,而是在接触点附近产生短暂的反复接触,这就是所谓的“抖动”。抖动时间一般在几毫秒到几十毫秒之间。如果这种抖动未经处理就被电子设备读取,会导致设备误判为多次按键,从而产生非预期的反应。

2.1.2 电气特性引起的抖动问题

电气特性上的抖动主要是由于电路中的电容、电感效应以及信号传输延时所引起的。当按键动作时,会在电路中产生一个短暂的脉冲信号,这个信号可能因为电路的特性在达到稳定状态之前就被错误地读取多次。这种抖动现象在高速电路和长距离信号传输中尤为明显。

2.2 时间窗口的设定方法

去抖时间窗口是去抖逻辑中用于判断抖动是否结束的关键参数。为了确保去抖的有效性,时间窗口的设定必须基于抖动的特性和系统的要求。

2.2.1 确定时间窗口的理论基础

时间窗口的设定需要根据抖动现象的典型持续时间来确定。一般来说,时间窗口应该大于抖动的最大持续时间。在工业实践中,时间窗口通常设定在20ms到100ms之间。太短的时间窗口无法充分消除抖动,而太长的时间窗口则会导致按键反应延迟,影响用户体验。

2.2.2 时间窗口的实验测定技巧

理论上的设定方法往往需要结合实际实验来完善。在实践中,可以通过多次测试按键的反应时间,分析抖动的统计数据,从而确定最优化的时间窗口。实验过程中,可以使用逻辑分析仪等测试设备记录按键动作,然后通过软件分析抖动持续时间,并据此调整时间窗口,达到最佳的去抖效果。

在本章节中,我们详细探讨了去抖技术的基本原理,以及如何根据实际情况来设定时间窗口。为了更进一步展示时间窗口的设置和去抖技术的实现,以下是一段示例代码,展示了如何在软件层面上实现去抖逻辑。

#include <stdio.h>
#include <stdbool.h>

// 模拟按键输入函数
bool read_button_state() {
    // 这里模拟按键状态,实际应用中会读取硬件状态
    return rand() % 2; // 随机返回0或1模拟按键按下的状态
}

// 去抖函数,timeWindow为设定的去抖时间窗口
bool debounce(bool (*read_input)(), unsigned long timeWindow) {
    static unsigned long last稳定时间 = 0;
    static bool last状态 = false;
    bool current状态 = read_input();
    unsigned long currentTime = millis();

    if (current状态 != last状态) {
        last稳定时间 = currentTime + timeWindow; // 记录新状态的时间
    } else if (currentTime - last稳定时间 >= timeWindow) {
        last状态 = current状态;
        last稳定时间 = currentTime;
    }

    // 如果最后一次状态变化距离当前时间小于时间窗口,返回上一次稳定的按键状态
    if (currentTime - last稳定时间 < timeWindow) {
        return last状态;
    }
    // 否则,返回当前状态
    return current状态;
}

int main() {
    bool buttonState;
    unsigned long debounce_time = 50; // 设定去抖时间为50毫秒

    while (1) {
        buttonState = debounce(&read_button_state, debounce_time);
        if (buttonState) {
            printf("Button pressed!\n");
        }
    }

    return 0;
}

在上述代码中, debounce 函数通过记录稳定时间来确定按键输入是否已经稳定,从而实现去抖功能。逻辑分析部分,代码中的 millis() 函数模拟系统时间的获取,用于计算两次按键状态变化之间的时间差。当检测到新的按键状态与上一次记录的状态不同时,会重置稳定时间窗口,开始重新计时。如果在设定的时间窗口之后,按键状态依然没有变化,则认为按键状态已经稳定,并将这个状态保存并返回。

通过这种方式,我们可以有效地在软件层面去除按键抖动带来的影响,提高用户交互的准确性和系统的稳定性。在本章节的后续部分,我们将进一步探索去抖技术在硬件和软件层面的应用实践,以及在不同编程环境下的实现方法。

3. 软件去抖实现方法

3.1 软件去抖的算法设计

3.1.1 延时检测法的原理与实现

软件去抖中最常见的方法之一是延时检测法。这种方法的核心思想是在检测到按键状态变化后,通过延时一小段时间来过滤掉因为按键的机械或电气抖动造成的瞬间状态变化。只有在延时结束后,如果按键状态仍然保持与变化后的状态相同,则认为这是有效的按键动作。

实现延时检测法的基本步骤如下:

  1. 设置一个定时器,当检测到按键状态变化时启动。
  2. 在定时器的回调函数中检查按键状态,如果状态稳定,则确认按键动作。
  3. 如果在定时器结束前按键状态再次变化,则重置定时器,重新计时。

以下是一个使用Python实现的简单示例:

import threading
import time

# 假设这是一个用于读取按键状态的函数
def read_button_state():
    # 这里应该是与硬件相关的代码,返回按键状态
    # 返回True表示按键被按下,返回False表示未按下
    return False

# 设置延时时间,单位为秒
DEBOUNCE_DELAY = 0.1

# 初始化按键状态
button_pressed = False

def check_button():
    global button_pressed
    # 检测按键状态变化
    if read_button_state() != button_pressed:
        # 启动一个线程去延时检测按键状态
        threading.Timer(DEBOUNCE_DELAY, debounce)
        return
    # 状态稳定,执行按键动作
    if button_pressed:
        print("Button Pressed")
    else:
        print("Button Released")

def debounce():
    global button_pressed
    # 确认按键状态
    button_pressed = read_button_state()
    # 执行按键动作
    if button_pressed:
        print("Button Pressed After Debouncing")
    else:
        print("Button Released After Debouncing")
    # 继续监控按键状态
    check_button()

# 开始监控按键状态
check_button()

在上述代码中, check_button 函数负责定期检查按键状态是否发生变化,而 debounce 函数则在延时后确认按键的真实状态。这里使用线程模拟了异步的行为,实际应用中可以使用循环加延时的简单方法,也可以使用操作系统的定时器功能。

3.1.2 中值滤波法的原理与实现

中值滤波法是另一种常用于去抖的软件算法。它通过取一定数量的连续样本点,然后取这些样本的中值作为最终状态。中值滤波能有效地去除偶数个异常值,并且对小抖动具有较好的过滤作用。

实现中值滤波法的基本步骤如下:

  1. 设定一个样本窗口大小,例如3个样本。
  2. 每次按键状态变化时,将新的状态值添加到样本列表中。
  3. 每次添加新值后,从样本列表中移除最早的一个值,保持窗口大小不变。
  4. 对样本列表排序,取中间的值作为当前的按键状态。

以下是一个使用Python实现的中值滤波法的示例:

# 设定样本窗口大小
SAMPLE_WINDOW_SIZE = 3

# 初始化样本列表
button_samples = []

def read_button_state():
    # 同前
    return False

def median_filter():
    # 检测按键状态并更新样本列表
    new_state = read_button_state()
    button_samples.append(new_state)
    # 保证样本列表不超出设定的窗口大小
    if len(button_samples) > SAMPLE_WINDOW_SIZE:
        button_samples.pop(0)
    # 对样本列表进行排序
    button_samples.sort()
    # 返回中间的值作为滤波后的状态
    return button_samples[len(button_samples) // 2]

# 模拟按键状态变化
for i in range(5):
    print(f"Raw button state: {read_button_state()}")
    print(f"Debounced state: {median_filter()}")
    time.sleep(0.05)  # 模拟实际状态变化的时间间隔

上述代码通过一个循环模拟了连续的按键状态变化,使用中值滤波法对这些状态进行过滤,并打印出原始状态和经过中值滤波后的状态。需要注意的是,这里没有使用真实的时间间隔,实际使用时应该根据按键的实际响应时间来调整。

3.2 软件去抖的应用实践

3.2.1 嵌入式系统中软件去抖的实现

嵌入式系统由于其运行环境的多样性和资源限制,软件去抖的实现方式需要根据具体的硬件平台和操作系统进行适配。在裸机环境下,通常需要使用定时器来实现去抖功能,而在有操作系统的嵌入式系统中,则可以使用操作系统的API来实现定时或延时功能。

在裸机环境下实现软件去抖的一个简单例子:

#define DEBOUNCE_DELAY 50  // 延时时间,单位为毫秒

void debounce() {
    static unsigned long last_debounce_time = 0;
    static bool last_button_state = false;

    bool current_state = read_button_state(); // 读取按键状态函数
    unsigned long current_time = get_milliseconds(); // 获取当前时间函数

    // 如果按键状态与上次不同,重置去抖计时器
    if (last_button_state != current_state) {
        last_debounce_time = current_time;
    }

    // 如果自上次状态变化后已超过去抖延时,则确认按键状态
    if ((current_time - last_debounce_time) > DEBOUNCE_DELAY) {
        if (last_button_state != current_state) {
            last_button_state = current_state;
            // 此处调用处理确认后的按键动作函数
        }
    }
}

在有操作系统支持的情况下,软件去抖的实现则可以更简单,可以利用操作系统提供的定时器或任务调度功能来实现延时检测法。

3.2.2 通用编程语言中的软件去抖实例

在通用编程语言中,实现软件去抖通常比嵌入式系统要简单很多,因为高级语言提供了丰富的库函数和语法支持。以JavaScript为例,可以使用 setTimeout 函数来实现延时检测法。

以下是一个在Web前端中实现的JavaScript去抖示例:

function debounce(func, wait) {
    let timeout;
    return function executedFunction(...args) {
        const later = () => {
            clearTimeout(timeout);
            func(...args);
        };
        clearTimeout(timeout);
        timeout = setTimeout(later, wait);
    };
}

// 假设这是一个按键事件处理函数
function handleKeyChange(event) {
    console.log("Key changed to:", event.target.value);
}

// 创建去抖后的按键事件处理函数
const debouncedChangeHandler = debounce(handleKeyChange, 100);

// 将去抖后的处理函数绑定到按钮上
document.getElementById("myButton").addEventListener("change", debouncedChangeHandler);

在上述JavaScript代码中, debounce 函数返回一个新的函数,这个新函数会在指定的延时过后执行原始的事件处理函数 handleKeyChange 。这样,在连续的事件触发时,只有在最后一次事件触发后指定的延时时间过去,事件处理函数才会执行。

4. Verilog硬件去抖实现方法

4.1 Verilog硬件去抖的原理

4.1.1 Verilog硬件描述语言概述

Verilog是一种用于电子系统的硬件描述语言(HDL),它使得设计者能够在多个抽象层面上描述电子系统。Verilog可以用作电路设计和模拟、验证以及时序分析的工具。硬件去抖是利用Verilog语言来实现的一种技术,它通过编写硬件描述语言代码,创建一个电路模块,这个模块可以在接收到可能存在抖动的信号后进行稳定化处理。

4.1.2 去抖模块的Verilog实现原理

硬件去抖模块的基本原理是,通过一个简单或复杂的逻辑电路,将输入的抖动信号转换成稳定的输出信号。在一个典型的去抖模块中,会设置一个时间窗口,在这个时间窗口内,如果检测到输入信号从高到低或从低到高的变化超过一定次数,则认为该信号为无效信号。只有当信号在设定时间窗口内保持稳定时,才会将其认为是有效的触发信号。Verilog实现这一过程涉及设置一个计数器和一个锁存器,计数器用于测量抖动时间,而锁存器用于锁存稳定状态的信号。

4.2 Verilog去抖模块的设计与仿真

4.2.1 去抖模块的编写

在Verilog中编写去抖模块需要设计一个能够计时并在检测到稳定信号时产生输出的电路。以下是一个简单的去抖模块的Verilog代码示例:

module debounce(
    input clk,  // 时钟信号
    input rst,  // 同步复位信号
    input noisy_signal,  // 可能带有抖动的输入信号
    output reg debounced_signal  // 去抖后的稳定信号
);

// 参数定义
parameter DEBOUNCE_LIMIT = 200000; // 设定去抖时间窗口

// 信号声明
reg [17:0] counter;  // 计数器,位宽根据时钟频率和所需去抖时间来定

// 去抖逻辑实现
always @(posedge clk or posedge rst) begin
    if(rst) begin
        counter <= 0;
        debounced_signal <= 0;
    end else begin
        if(noisy_signal == debounced_signal) begin
            if(counter < DEBOUNCE_LIMIT) begin
                counter <= counter + 1;
            end else begin
                debounced_signal <= noisy_signal;  // 输出稳定信号
            end
        end else begin
            counter <= 0;  // 如果检测到信号跳变,重置计数器
        end
    end
end

endmodule

4.2.2 去抖模块的仿真测试

在编写了去抖模块后,通常需要进行仿真测试以验证其功能。仿真测试可以使用Verilog仿真工具,如ModelSim或者Vivado来完成。以下是一段用于仿真的测试平台代码:

module debounce_tb;

// 测试信号
reg clk;
reg rst;
reg noisy_signal;
wire debounced_signal;

// 生成时钟信号
initial begin
    clk = 0;
    forever #5 clk = ~clk;  // 假设时钟周期为10个时间单位
end

// 测试模块实例化
debounce uut (
    .clk(clk),
    .rst(rst),
    .noisy_signal(noisy_signal),
    .debounced_signal(debounced_signal)
);

// 测试序列
initial begin
    // 初始化信号
    rst = 1; #10;
    rst = 0;
    // 产生带有抖动的信号
    noisy_signal = 0; #10;
    noisy_signal = 1; #5;
    noisy_signal = 0; #5;
    noisy_signal = 1; #5;
    noisy_signal = 0; #10;
    // 等待去抖过程完成
    #DEBOUNCE_LIMIT;
    // 再次改变信号状态
    noisy_signal = 1; #20;
    // 观察输出信号
    #DEBOUNCE_LIMIT;
    // 完成仿真
    $finish;
end

endmodule

在该测试平台中, clk 是时钟信号, rst 是复位信号, noisy_signal 是模拟抖动的输入信号,而 debounced_signal 是从去抖模块输出的稳定信号。通过观察 debounced_signal 的变化情况,我们可以验证去抖模块是否能够正确地稳定信号。

5. 状态机设计和计数器构造在去抖中的应用

5.1 状态机的基本概念与应用

在数字电路和软件工程中,状态机是一种计算模型,能够根据当前状态和输入来决定下一个状态。它在按键去抖的应用中起到了至关重要的作用。状态机的使用能够有效地简化去抖逻辑,同时确保系统对于按键动作的响应既迅速又准确。

5.1.1 状态机在去抖中的作用

按键去抖的核心目标是在检测到抖动时,避免错误的状态转换或动作触发。状态机通过定义一系列的状态和状态转移规则来实现这一点。在去抖场景中,状态机可以维护当前按键的状态,并且只在确认信号稳定时才进行状态转移,比如从“未按下”到“已按下”。

例如,一个简单的去抖状态机可能包含以下状态: - 静默状态 (Stable No Press):表示在一定时间窗口内没有检测到按键动作。 - 检测到按下 (Detected Press):表示在抖动时间窗口内检测到了按键按下动作。 - 确认按下 (Confirmed Press):表示经过去抖时间窗口后,确认确实发生了按键按下。

每当有新的输入信号时,状态机根据定义好的状态转移规则决定是否转移到另一个状态。这就确保了去抖逻辑的一致性和可预测性。

5.1.2 状态机的设计方法

设计一个用于去抖的状态机涉及以下几个步骤:

  1. 状态定义 :确定状态机需要管理的所有状态。在去抖应用中,至少需要定义稳定状态和已检测到的按键动作状态。
  2. 状态转移规则 :为每种状态定义一组转移规则,决定在输入信号改变时是否以及如何转移到其他状态。
  3. 时间窗口 :对于去抖,需要定义一个去抖时间窗口,即在确认信号稳定之前,必须在多长时间内没有检测到抖动。

  4. 实现细节 :状态机可以用硬件描述语言(如Verilog)或软件编程语言实现。在硬件中,它通常是一个时序逻辑电路,在软件中则是一个有限状态自动机。

举个简单的例子,如果一个按键在50ms内没有检测到抖动,则状态机会转移到“确认按下”状态,并在此状态下维持直到接收到复位信号。

// Verilog状态机伪代码片段
always @(posedge clk) begin
    if (reset) begin
        state <= S_IDLE; // S_IDLE为静默状态
    end else begin
        case (state)
            S_IDLE: begin
                if (buttonPressed) begin
                    state <= S_DEBOUNCE_WAIT; // 检测到按下
                end
            end
            S_DEBOUNCE_WAIT: begin
                if (!buttonPressed && debounceCounter > DEBOUNCE_THRESHOLD) begin
                    state <= S_IDLE; // 抖动计数超过阈值,返回静默状态
                end else if (buttonPressed) begin
                    state <= S_CONFIRMED_PRESS; // 抖动计数未超过阈值,确认按下
                end
            end
            S_CONFIRMED_PRESS: begin
                // 保持确认按下状态直到接收到复位信号
                // ...
            end
        endcase
    end
end

在上述伪代码中, debounceCounter 是一个计数器,用于记录去抖时间窗口内的持续按下时间。如果在该窗口时间内按键一直被保持按下,则认为是有效的按键动作。

5.2 计数器构造方法及其在去抖中的应用实例

计数器是另一项重要的数字逻辑组件,它在去抖技术中也有重要的应用。计数器可以用于跟踪时间窗口内的事件,例如抖动次数或稳定的时间长度。

5.2.1 计数器的基本功能和设计

计数器最基本的功能是计数:当它接收到输入信号时,计数器的值会递增(或者递减)。在去抖中,计数器可以用来跟踪抖动,或者作为时间窗口的计时器。

计数器的设计涉及以下元素:

  • 计数范围 :确定计数器可以计数到的最大值。
  • 计数方向 :计数器可以递增或递减。
  • 计数频率 :计数器的计数速率,通常由时钟信号决定。
  • 复位和使能逻辑 :用于控制计数器何时开始和停止计数。

在去抖应用中,计数器可以用来实现在一定时间窗口内跟踪按键状态。例如,如果在50ms内计数器达到某个值,则认为发生了抖动。

5.2.2 计数器在硬件去抖中的应用实例

硬件去抖的一个常见实现是使用一个同步计数器。以一个简单的50ms去抖时间窗口为例,假设系统时钟频率为1MHz(即每秒有1,000,000个时钟周期),则需要一个能够计数到50,000的计数器。

以下是一个简化的硬件描述语言(HDL)伪代码,描述了如何使用计数器进行去抖:

reg [15:0] debounce_counter = 16'd0; // 16位计数器,最大计数到65535
reg button_state = 1'b0; // 按键当前状态

always @(posedge clk) begin
    if (button_state == 1'b0) begin // 按键未按下
        if (button_in == 1'b1) begin // 检测到按下
            debounce_counter <= debounce_counter + 1'b1; // 开始计数
        end else if (debounce_counter > DEBOUNCE_THRESHOLD) begin
            button_state <= 1'b1; // 确认按下
        end else begin
            debounce_counter <= 16'd0; // 复位计数器
        end
    end else begin // 按键已按下
        if (button_in == 1'b0) begin // 检测到释放
            button_state <= 1'b0; // 更新状态为未按下
            debounce_counter <= 16'd0; // 复位计数器
        end
    end
end

在上述代码中,当按键未被按下时,如果检测到按下动作( button_in 为 1),计数器开始计数。如果计数器值超过了设定的去抖阈值( DEBOUNCE_THRESHOLD ),则将按键状态更新为已按下。如果在去抖时间窗口内按键被释放,计数器也会被复位,防止错误的确认。

这里展示了一个简单的计数器实现,实际应用中可能需要考虑更多的边缘条件和特殊情况处理。通过与状态机配合使用,计数器能够提供一个可靠且高效的去抖机制。

6. 综合案例分析:按键去抖实验

6.1 实验准备与环境搭建

在进行按键去抖实验之前,首先要准备必要的硬件和软件资源,并设置好实验环境。这一部分的实验准备工作是至关重要的,因为它直接关系到实验数据的准确性以及实验过程的顺利进行。

6.1.1 实验设备和材料

  • 按键开关若干
  • 微控制器开发板(例如Arduino、STM32等)
  • 电阻若干
  • 示波器或逻辑分析仪
  • 连接线
  • 电脑,安装有相应的开发环境和软件工具

6.1.2 软硬件环境的配置

  • 软件环境
  • 集成开发环境(IDE),如Arduino IDE、Keil uVision、VSCode配合PlatformIO等。
  • 相关依赖库,例如为微控制器提供的GPIO控制库、串口通信库等。
  • 仿真软件,如ModelSim、Vivado等,用于Verilog代码的仿真测试。

  • 硬件环境

  • 微控制器的固件编写,需要根据开发板的具体型号和硬件特性来编写对应的程序代码。
  • 硬件电路的搭建,包括按键去抖电路和可能的信号检测电路。

通过上述的设备和环境准备,实验者可以确保实验的顺利开展,并能够准确地采集实验数据。

6.2 实验过程与数据分析

实验过程的每一步都需按照标准操作流程执行,以保证结果的可靠性和可重复性。在这一部分中,我们分为软件去抖实验和硬件去抖实验两个主要步骤,详细阐述实验的具体操作步骤和分析方法。

6.2.1 软件去抖实验步骤

  1. 编写程序代码 :编写软件去抖的代码,将其中的去抖逻辑嵌入到按键读取函数中。
  2. 上传代码至开发板 :将编写好的程序代码上传至微控制器开发板。
  3. 按键模拟 :使用手指或其他机械方式模拟按键操作。
  4. 观察输出 :通过串口监视器或连接到电脑的调试软件观察去抖后的输出信号。

6.2.2 硬件去抖实验步骤

  1. 硬件电路搭建 :按照设计图纸,使用电阻、电容、微控制器等元件搭建去抖电路。
  2. 电路测试 :使用示波器或逻辑分析仪测试电路板在按键操作下的信号波形。
  3. 波形分析 :对比有去抖和无去抖时的波形图,分析去抖效果。

6.3 实验结论与应用前景

6.3.1 实验结果分析

在实验结束后,需要对收集到的数据进行详细分析。对于软件去抖实验,主要通过计算去抖前后按键响应次数的差异来评估效果;对于硬件去抖实验,则需要观察波形图,检查是否存在抖动。

6.3.2 去抖技术在各领域的应用展望

去抖技术作为解决物理按钮输入问题的常用方法,在各个领域都有着广泛的应用前景。例如,在智能家居设备中,可以提高用户交互的准确性和设备的使用寿命。在工业控制领域,去抖技术能够保障设备的安全运行。而在消费电子产品中,去抖技术是提升用户体验不可或缺的一环。

在实验数据的分析基础上,我们还可以对现有的去抖技术进行优化,探索新的去抖策略,以适应不同的应用场景和技术要求。通过持续的实验和研究,去抖技术将在未来得到进一步的发展和革新。

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

简介:在电子设计中,按键去抖是确保系统稳定性的关键技术,涉及到消除因物理按键的机械抖动引起的误识别。文章将详细探讨通过编程实现的软件去抖技术,以及利用Verilog HDL实现的硬件去抖方法。结合实际的代码和理论资料,讲解了去抖的时间窗口原理和两种去抖方式的实现过程。

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值