全面掌握Verilog:硬件描述语言深入教程

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

简介:Verilog作为数字系统设计的关键硬件描述语言,支持工程师在集成电路设计、验证和模拟等环节中进行抽象描述。本教程深入讲解了Verilog的基本结构、数据类型、行为级与结构级描述、时序与组合逻辑、仿真与综合、参数化设计、接口封装以及高级特性,旨在帮助读者全面理解并应用Verilog。特别提及了于敦山教授的贡献,其教程对初学者具有极高的友好度和实用性。

1. Verilog基础结构与语法

Verilog是用于电子系统设计和硬件描述的编程语言,广泛应用于IC设计和FPGA编程。本章节将概述Verilog的基本结构与语法,为后续深入学习Verilog的高级特性和设计方法打下坚实的基础。

1.1 Verilog的起源与发展

Verilog最初由Gateway Design Automation公司在1984年开发,后于1990年成为IEEE标准(IEEE 1364-1995),并进行了多次更新。每一代标准的推出,都伴随着硬件描述能力的增强与语言特性的完善。

1.2 Verilog的模块化与描述层次

模块化是Verilog设计的核心理念之一,允许设计者将复杂的系统分解为可管理的子模块。Verilog提供了结构级、行为级和数据流级等不同层次的描述方法,适应不同的设计阶段和需求。

1.3 Verilog的设计原则和实践

设计原则包括模块化、层次化、重用性和可测试性,这些都是Verilog在实际工程应用中的最佳实践。正确地运用这些原则,可以提高设计的效率与质量。

通过本章的学习,读者将掌握Verilog编程的基本框架和核心概念,为进一步学习Verilog语法和各种设计技巧打下坚实的基础。

2. Verilog数据类型与基本操作

2.1 基本数据类型

2.1.1 线网类型(wire)与寄存器类型(reg)

在Verilog中,基本数据类型包括线网类型(wire)和寄存器类型(reg)。这两种类型在硬件描述语言(HDL)中非常重要,它们在仿真和综合过程中扮演着不同的角色。

线网类型(wire): - wire是一个连续赋值的数据类型,主要用于描述组合逻辑电路,例如逻辑门、多路选择器等。 - 当对wire进行赋值时,必须通过连续赋值语句(例如assign语句)进行。 - 在仿真过程中,wire类型的信号会在赋值时立即更新其值。 - wire类型不能在always块内进行赋值。

assign myWire = a & b; // myWire is a wire type, continuously assigned with the result of AND operation.

寄存器类型(reg): - reg类型并不一定代表物理寄存器,它主要用于在过程块(always或initial块)内存储临时值。 - reg类型的变量在过程块中赋值,且其值会在块的结束时保存。 - 与wire不同,reg类型的变量可以在过程块中被赋予新的值。

always @(posedge clk) begin
    myReg <= myReg + 1; // myReg is a reg type, storing a value that changes on each clock cycle.
end

理解wire与reg之间的差异对于正确模拟硬件设计至关重要。在编写Verilog代码时,需要根据信号的使用方式选择合适的数据类型。

2.1.2 参数(parameter)与类型定义(typedef)

参数和类型定义是Verilog中用于提高代码可读性和可维护性的两个重要特性。

参数(parameter): - parameter关键字用于定义可以在模块实例化时进行修改的常量值。 - 它们在编译时确定,并且在整个模块中都是可见的。 - 参数常用于定义模块的配置选项,如位宽、延迟时间等。

module adder #(parameter WIDTH = 8) (
    input wire [WIDTH-1:0] a,
    input wire [WIDTH-1:0] b,
    output wire [WIDTH-1:0] sum
);
// Module implementation with WIDTH being a parameter.
endmodule

类型定义(typedef): - typedef关键字用于为现有的数据类型创建一个新的名称。 - 它可以使代码更加清晰易懂,尤其是在处理复杂数据类型或结构体时。

typedef logic [7:0] byte_t; // typedef creates a new type name byte_t for an 8-bit logic vector.
byte_t myByte; // myByte is now an 8-bit logic vector.

使用参数和类型定义可以提升代码的可移植性和模块化水平。这不仅有助于代码的重用,还能简化代码的管理和维护工作。

2.2 复合数据类型

2.2.1 数组与向量

Verilog支持数组和向量作为复合数据类型,它们在组织和操作一组相关数据时非常有用。

数组: - 在Verilog中,数组是由相同类型的元素构成的集合。 - 它们主要用于存储一系列的线网或寄存器。 - 数组可以通过索引来访问各个元素,类似于其他编程语言中的数组操作。

reg [7:0] myArray [0:9]; // A reg array of 10 elements, each element is 8 bits wide.
myArray[0] <= 8'b00000001; // Assigning the value 1 to the first element of the array.

向量: - 向量是指线网或寄存器类型中的一维集合。 - 它们可以用来表示多位的数据,例如数据总线。 - 向量的位宽可以通过指定范围来定义。

wire [15:0] myVector; // A 16-bit wire vector.
myVector = 16'b1010101010101010; // Assigning a value to the entire vector.

数组和向量在设计如内存和多路选择器等复杂电路时非常有用,因为它们允许我们以紧凑的形式表示大量数据。

2.2.2 结构体(struct)与联合体(union)

除了数组和向量,Verilog还提供了结构体(struct)和联合体(union)这两种复合数据类型,它们用于表示更复杂的数据结构。

结构体(struct): - 结构体用于组合不同类型的数据成员到一个单一的数据类型中。 - 结构体成员可以是不同数据类型的线网或寄存器。 - 结构体在模块化设计和复杂数据路径中非常有用,它有助于组织和管理数据。

struct packed {
    logic [7:0] addr;
    logic [15:0] data;
    logic rd;
} myStruct;

myStruct.addr <= 8'b00000000; // Assigning to a member of a struct.

联合体(union): - 联合体与结构体类似,但所有的成员都共享同一段内存位置。 - 联合体的大小等于其最大成员的大小。 - 联合体通常用于节省内存空间或表示可以有多种解释的数据。

union packed {
    logic [15:0] data;
    struct {
        logic [7:0] byte0;
        logic [7:0] byte1;
    } bytes;
} myUnion;

myUnion.data <= 16'b1010101010101010; // Assigning to the union, which is shared by bytes and data.

结构体和联合体使得数据的组织和访问更加结构化和模块化,特别适合于复杂数据处理和系统级设计。

2.3 操作符与表达式

2.3.1 逻辑与算术操作符

逻辑与算术操作符是Verilog语言中用于执行基本运算的核心组件。

逻辑操作符: - Verilog提供了标准的逻辑操作符,如与(&&)、或(||)和非(!)。 - 这些操作符对于组合逻辑和控制流逻辑的设计至关重要。 - 逻辑操作符通常用于处理布尔值或条件表达式。

wire a, b, c;
assign c = a && b; // Logical AND operation.

算术操作符: - 算术操作符包括加(+)、减(-)、乘(*)和除(/)等。 - 它们用于执行数学运算,通常用在算术逻辑单元(ALU)或其他算术电路的设计中。

wire [3:0] addResult;
assign addResult = 4'b1010 + 4'b0011; // Arithmetic addition.

逻辑和算术操作符在硬件设计中非常常见,它们是设计高效电路的基础。

2.3.2 关系与移位操作符

关系和移位操作符是用于比较和位操作的另外两种重要操作符类型。

关系操作符: - 关系操作符用于比较两个值,例如等于(==)、不等于(!=)、小于(<)和大于(>)。 - 它们通常用于条件语句和生成控制信号。

wire [2:0] a, b;
wire result;
assign result = (a == b); // Equality comparison.

移位操作符: - 移位操作符包括左移(<<)、右移(>>)、带符号左移(<<<)和带符号右移(>>>)。 - 这些操作符在处理位序列,如数据处理或算术运算中非常有用。

wire [7:0] myValue = 8'b10101010;
wire [7:0] shiftedValue;
assign shiftedValue = myValue << 1; // Shift left by 1 bit.

关系和移位操作符对于复杂的数字逻辑设计是必不可少的,它们在功能上扩展了Verilog的表达能力。

在本章节中,我们介绍了Verilog的基础数据类型、参数化和类型定义、以及使用操作符和表达式。这些概念是学习Verilog的关键,为后续的结构级描述和行为级描述打下了坚实的基础。在下一章,我们将继续深入了解Verilog的行为级描述,探索过程块、赋值语句以及控制流语句。

3. Verilog行为级描述与实现

3.1 过程块与赋值语句

3.1.1 always块与initial块的区别和应用

在Verilog中, always 块和 initial 块是两种常用的过程块,用于描述硬件行为。 always 块在仿真开始时被调用,并且每次检测到敏感列表中的信号变化时都会再次被触发,使其非常适合描述时序逻辑。而 initial 块仅在仿真开始时执行一次,适用于初始化操作或仅需执行一次的过程。

区别:

  • 触发时机: initial 块仅执行一次,而 always 块在敏感列表中的信号变化时反复执行。
  • 应用范围: initial 用于仿真初始化和测试, always 用于实现硬件功能。
  • 敏感列表: always 块可以有敏感列表,而 initial 块不使用敏感列表。

应用:

  • 使用 initial 块初始化测试平台的信号,例如: verilog initial begin a = 0; b = 0; #10 a = 1; #10 b = 1; end

  • 使用 always 块描述时序电路,例如一个简单的D触发器:

verilog always @(posedge clk or negedge rst_n) begin if (!rst_n) q <= 0; else q <= d; end

3.1.2 过程敏感列表(sensitivity list)的使用

过程敏感列表(也称为敏感信号列表或触发条件列表)是 always 块中的一项重要特性,它指明了导致 always 块执行的信号。在仿真中,任何敏感列表中的信号发生变化时,都会触发 always 块执行。

使用方法:

  • 使用 @(posedge clk) @(negedge clk) 指定边沿敏感。
  • 使用 @(clk or rst_n) 指定多个信号敏感。
  • 使用 @(a or b or c) 列出多个信号,任何信号变化都会触发 always 块。

注意: 如果使用的是Verilog-2001标准后,建议使用 always @* always_comb 来自动推断敏感列表,这样可以减少错误并提高代码的可读性。

3.2 控制流语句

3.2.1 条件控制语句:if、case

条件控制语句是行为级描述中的基础组成部分,用于根据条件执行不同的逻辑分支。在Verilog中, if case 语句是最常见的条件控制语句。

if语句:

  • 语句基本形式:

verilog if (condition) begin // 代码块执行 end else if (condition) begin // 另一个代码块执行 end else begin // 默认代码块执行 end

  • 使用 if 语句进行多条件判断,注意Verilog中 if 语句的条件不支持范围判断。

case语句:

  • 语句基本形式:

verilog case (expression) value1: begin // 当expression等于value1时执行的代码块 end value2: begin // 当expression等于value2时执行的代码块 end ... default: begin // 默认情况执行的代码块 end endcase

  • case 语句用于多重条件选择,可以使用 casez casex 处理带'z'(高阻态)和带'x'(不确定状态)的情况。

3.2.2 循环控制语句:for、while、repeat

循环控制语句用于重复执行某些操作,循环控制可以是 for while repeat

for循环:

  • 用于遍历数组、计数器递增或递减等。

verilog for (int i = 0; i < N; i = i + 1) begin // 循环体 end

  • 在Verilog中, for 循环中的循环变量在每次循环迭代结束时都需要更新。

while循环:

  • 当条件为真时重复执行循环体。

verilog integer i = 0; while (i < N) begin // 循环体 i = i + 1; end

repeat循环:

  • 执行固定次数的循环。

verilog repeat (N) begin // 循环体 end

  • 使用 repeat 时,循环体将执行指定的次数,不依赖于条件表达式。
graph TD
  A[开始] --> B{是否满足条件?}
  B -- 是 --> C[执行循环体]
  C --> D[更新循环条件]
  D --> B
  B -- 否 --> E[结束循环]

循环控制的注意事项:

  1. 循环应有明确的终止条件,否则可能导致无限循环。
  2. 适当使用 disable 语句退出循环。
  3. 在同步电路设计中,循环通常用于描述移位寄存器和计数器。

通过这些行为级的描述方法,我们能够构建出模块化和可复用的硬件设计,为复杂电路的开发奠定基础。接下来的章节将讨论如何在更高级别的抽象上进行结构级描述和模块化设计。

4. Verilog结构级描述与模块化设计

在数字电路设计领域,模块化设计是一种强大的技术,它将复杂的问题分解为较小、更易于管理的部分。这种设计方法不仅有助于降低设计复杂性,还便于重用设计组件,加快开发速度,并提高设计的可靠性。Verilog作为一种硬件描述语言(HDL),提供了丰富的结构级描述功能,使设计师能够创建模块化的硬件设计。

4.1 模块定义与实例化

4.1.1 模块的端口声明与连接

在Verilog中,模块是设计的基本构建块,用于封装一组具有特定功能的电路。模块定义的语法非常直接,它包括模块关键字 module endmodule ,在这两者之间,您可以定义端口、内部信号、逻辑功能以及其它模块实例。模块的端口声明决定了模块与外界的接口。端口可以是输入、输出或双向(inout)。

module my_module(input wire clk, input wire reset, output reg [7:0] data_out);
    // 模块内部逻辑
endmodule

在上面的例子中, my_module 模块声明了三个端口:一个时钟输入 clk 、一个复位信号 reset 和一个8位宽的输出 data_out 。端口声明中使用了 input wire output reg 关键字来分别指示输入和输出端口的类型。这里, clk reset 被声明为 wire 类型,表示它们是连续赋值的信号;而 data_out 被声明为 reg 类型,表示它可以被赋值语句改变。

要实例化这个模块,并将其连接到其他模块或顶层设计中,我们需要声明一个模块实例,并提供实际的信号来连接到定义的端口。

my_module inst_module(
    .clk(clk_signal),  // 连接到顶层设计中的时钟信号
    .reset(reset_signal), // 连接到顶层设计中的复位信号
    .data_out(data_output_signal) // 连接到顶层设计中的一个8位宽的信号线
);

实例名称 inst_module 是当前实例的标识符,而实例端口之间的点标法用于连接外部信号与模块内部的端口。

4.1.2 实例化模块的注意事项

实例化模块时,需要确保端口连接的正确性。这包括端口的数据类型和宽度匹配,以及确保端口的方向性正确。如果端口方向不匹配,将会导致编译错误。

例如,如果尝试将一个输出信号连接到另一个模块的输入端口,或者使用错误的位宽,将会在编译时遇到问题。此外,对于双向端口,需要确保它们被正确地处理为输出时能够驱动信号,而作为输入时能正确接收信号。

// 正确的实例化,信号连接方向和位宽完全匹配
my_module inst_module(
    .clk(clk_signal),
    .reset(reset_signal),
    .data_out(data_output_signal[7:0])
);

// 错误的实例化:信号位宽不匹配
// my_module inst_module(
//     .clk(clk_signal),
//     .reset(reset_signal),
//     .data_out(data_output_signal[3:0]) // 数据宽度不匹配
// );

4.2 层次化设计与模块接口

4.2.1 层次化设计的概念与优势

层次化设计是数字设计中一种将复杂系统分解为易于管理的小模块的方法。每个模块可以执行特定的功能,然后可以将这些模块组合成更复杂的子系统,最终形成整个系统。这种设计方法提供了几个主要优势:

  1. 可重用性 :设计中可以创建可重用的模块,减少重复工作,加速开发。
  2. 可管理性 :模块化设计使设计保持组织和清晰,便于团队协作和维护。
  3. 抽象级别 :设计师可以在不同的抽象级别之间切换,专注于特定模块或系统的高层视图。
  4. 可测试性 :模块可以独立测试,使验证过程更加高效。
4.2.2 模块接口的规范与封装技术

模块接口是指模块与外界交互的方式,封装则是确保接口的定义不会影响到模块内部实现的细节。在Verilog中,规范模块接口的最佳实践是使用 parameter input output inout 声明来定义模块的公共接口。

module adder #(parameter WIDTH = 8) (
    input wire [WIDTH-1:0] a,
    input wire [WIDTH-1:0] b,
    output wire [WIDTH-1:0] sum
);
    assign sum = a + b; // 实现加法逻辑
endmodule

在这个加法器模块中, WIDTH 是一个参数化的接口,允许用户在实例化模块时指定位宽。这种方式为模块的接口提供了高度的灵活性,同时保持了内部实现的抽象性。

封装技术还包括了对模块内部信号和逻辑的隐藏。这可以通过使用内部信号和在模块内部封装逻辑来实现,外部用户不需要了解这些细节。

module adder #(parameter WIDTH = 8) (
    input wire [WIDTH-1:0] a,
    input wire [WIDTH-1:0] b,
    output wire [WIDTH-1:0] sum
);
    // 内部信号和逻辑隐藏在模块内部
    wire [WIDTH-1:0] internal_signal;
    // 某种复杂的内部逻辑实现
    assign internal_signal = a & b;
    assign sum = internal_signal + a;
endmodule

通过以上实践,设计师可以创建具有清晰和良好定义的接口的模块,并且能够高效地在不同的设计层次之间进行交互。模块化设计不仅提高了设计的可重用性,也方便了测试和维护,这对于现代复杂系统的开发至关重要。

5. 时序逻辑与组合逻辑的设计与分析

5.1 时序逻辑的设计

时序逻辑是数字电路中的一种逻辑行为,其中输出不仅依赖于当前输入,还依赖于历史输入的状态。这种逻辑的典型例子是触发器,它是存储信息的基本单元。时序逻辑设计通常涉及触发器的使用和时钟信号的管理。

5.1.1 触发器(Flip-Flop)的分类与应用

触发器是时序逻辑的基本构件,可以分为不同类型,包括D触发器、T触发器、JK触发器等。每种触发器有其特定的应用场景和特性。

  • D触发器是最常见的触发器类型,它在时钟边沿将输入数据(D)复制到输出端(Q)。其应用广泛,特别是在需要简单数据存储的场合。
always @(posedge clk) begin
  q <= d; // D触发器行为描述
end
  • T触发器在时钟边沿将输出翻转,可用于实现计数器设计。
always @(posedge clk) begin
  q <= ~q; // T触发器行为描述
end
  • JK触发器具有更复杂的特性,它在时钟边沿根据J和K的输入决定输出状态。JK触发器常用于实现复杂的同步逻辑。

5.1.2 时钟(Clock)相关的同步与异步设计

时钟信号是时序逻辑设计中的核心。同步设计是指电路的输出变化发生在同步的时钟边沿,而异步设计则不依赖于时钟信号,而是依赖于输入信号的变化。

  • 同步设计的特点是具有很高的可靠性和预测性,是大多数数字系统设计的首选。Verilog中的always块常用于描述同步逻辑。
always @(posedge clk) begin
  if (reset) begin
    // 同步复位逻辑
    q <= 0;
  end else begin
    // 同步状态转换逻辑
    q <= next_state;
  end
end
  • 异步设计通常用于信号的快速响应,例如复位信号或者使能信号。设计时需要注意避免竞态条件和信号抖动。
always @(*) begin
  if (~reset_n) begin
    // 异步复位逻辑
    q = 0;
  end else begin
    // 数据处理逻辑
    q = d;
  end
end

5.2 组合逻辑的设计

组合逻辑电路的输出仅依赖于当前输入,不存在任何反馈回路。组合逻辑设计的重点是确保电路在任何输入变化下都能快速稳定地达到新的平衡状态。

5.2.1 逻辑门(Gate)级别的组合逻辑设计

组合逻辑设计往往从基本的逻辑门开始构建,通过逻辑门之间的不同连接方式,形成各种功能模块。

assign y = (a & b) | (~c & d); // 示例:一个基本的组合逻辑表达式

5.2.2 组合逻辑的优化与去抖动处理

在设计组合逻辑时,要尽量减少逻辑门的使用,降低路径延迟,以优化电路性能。此外,去抖动处理是设计中常遇到的一个问题,因为机械开关和传感器等输入可能产生抖动。

// 一个简单的去抖动电路的Verilog代码示例
reg [19:0] counter;
always @(posedge clk) begin
  if (switch_in) begin
    if (counter < 1000000) counter <= counter + 1;
    else switch_out <= 1'b1;
  end else begin
    counter <= 0;
    switch_out <= 1'b0;
  end
end

通过上述不同类型的逻辑设计与分析,设计师能够更好地掌握时序逻辑与组合逻辑的设计原理,优化电路性能,提高数字系统的稳定性与可靠性。在下一章中,我们将深入探讨仿真与综合工具的使用,这是硬件设计验证的重要环节。

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

简介:Verilog作为数字系统设计的关键硬件描述语言,支持工程师在集成电路设计、验证和模拟等环节中进行抽象描述。本教程深入讲解了Verilog的基本结构、数据类型、行为级与结构级描述、时序与组合逻辑、仿真与综合、参数化设计、接口封装以及高级特性,旨在帮助读者全面理解并应用Verilog。特别提及了于敦山教授的贡献,其教程对初学者具有极高的友好度和实用性。

本文还有配套的精品资源,点击获取 menu-r.4af5f7ec.gif

目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `define和`undef 15 3.5.2 `ifdef、`else 和`endif 16 3.5.3 `default_nettype 16 3.5.4 `include 16 3.5.5 `resetall 16 3.5.6 `timescale 16 3.5.7 `unconnected_drive和 `nounconnected_drive 18 3.5.8 `celldefine 和 `endcelldefine 18 3.6 值集合 18 3.6.1 整型数 18 3.6.2 实数 19 3.6.3 字符串 20 3.7 数据类型 20 3.7.1 线网类型 20 3.7.2 未说明的线网 23 3.7.3 向量和标量线网 23 3.7.4 寄存器类型 23 3.8 参数 26 第4章 表达式 28 4.1 操作数 28 4.1.1 常数 28 4.1.2 参数 29 4.1.3 线网 29 4.1.4 寄存器 29 4.1.5 位选择 29 4.1.6 部分选择 29 4.1.7 存储器单元 30 4.1.8 函数调用 30 4.2 操作符 30 4.2.1 算术操作符 31 4.2.2 关系操作符 33 4.2.3 相等关系操作符 33 4.2.4 逻辑操作符 34 4.2.5 按位操作符 35 4.2.6 归约操作符 36 4.2.7 移位操作符 36 4.2.8 条件操作符 37 4.2.9 连接和复制操作 37 4.3 表达式种类 38 第5章 门电平模型化 39 5.1 内置基本门 39 5.2 多输入门 39 5.3 多输出门 41 5.4 三态门 41 5.5 上拉、下拉电阻 42 5.6 MOS开关 42 5.7 双向开关 44 5.8 门时延 44 5.9 实例数组 45 5.10 隐式线网 45 5.11 简单示例 46 5.12 2-4解码器举例 46 5.13 主从触发器举例 47 5.14 奇偶电路 47 第6章 用户定义的原语 49 6.1 UDP的定义 49 6.2 组合电路UDP 49 6.3 时序电路UDP 50 6.3.1 初始化状态寄存器 50 6.3.2 电平触发的时序电路UDP 50 6.3.3 边沿触发的时序电路UDP 51 6.3.4 边沿触发和电平触发的混合行为 51 6.4 另一实例 52 6.5 表项汇总 52 第7章 数据流模型化 54 7.1 连续赋值语句 54 7.2 举例 55 7.3 线网说明赋值 55 7.4 时延 55 7.5 线网时延 57 7.6 举例 57 7.6.1 主从触发器 57 7.6.2 数值比较器 58 第8章 行为建模 59 8.1 过程结构 59 8.1.1 initial 语句 59 8.1.2 always语句 61 8.1.3 两类语句在模块中的使用 62 8.2 时序控制 63 8.2.1 时延控制 63 8.2.2 事件控制 64 8.3 语句块 65 8.3.1 顺序语句块 66 8.3.2 并行语句块 67 8.4 过程性赋值 68 8.4.1 语句内部时延 69 8.4.2 阻塞性过程赋值 70 8.4.3 非阻塞性过程赋值 71 8.4.4 连续赋值与过程赋值的比较 72 8.5 if 语句 73 8.6 case语句 74 8.7 循环语句 76 8.7.1 forever 循环语句 76 8.7.2 repeat 循环语句 76 8.7.3 while 循环语句 77 8.7.4 for 循环语句 77 8.8 过程性连续赋值 78 8.8.1 赋值—重新赋值 78 8.8.2 force与release 79 8.9 握手协议实例 80 第9章 结构建模 83 9.1 模块 83 9.2 端口 83 9.3 模块实例语句 83 9.3.1 悬空端口 84 9.3.2 不同的端口长度 85 9.3.3 模块参数值 85 9.4 外部端口 87 9.5 举例 89 第10章 其他论题 91 10.1 任务 91 10.1.1 任务定义 91 10.1.2 任务调用 92 10.2 函数 93 10.2.1 函数说明部分 93 10.2.2 函数调用 94 10.3 系统任务和系统函数 95 10.3.1 显示任务 95 10.3.2 文件输入/输出任务 97 10.3.3 时间标度任务 99 10.3.4 模拟控制任务 99 10.3.5 定时校验任务 100 10.3.6 模拟时间函数 101 10.3.7 变换函数 102 10.3.8 概率分布函数 102 10.4 禁止语句 103 10.5 命名事件 104 10.6 结构描述方式和行为描述方式的 混合使用 106 10.7 层次路径名 107 10.8 共享任务和函数 108 10.9 值变转储文件 110 10.9.1 举例 111 10.9.2 VCD文件格式 112 10.10 指定程序块 113 10.11 强度 114 10.11.1 驱动强度 114 10.11.2 电荷强度 115 10.12 竞争状态 116 第11章 验证 118 11.1 编写测试验证程序 118 11.2 波形产生 118 11.2.1 值序列 118 11.2.2 重复模式 119 11.3 测试验证程序实例 123 11.3.1 解码器 123 11.3.2 触发器 124 11.4 从文本文件中读取向量 126 11.5 向文本文件中写入向量 127 11.6 其他实例 128 11.6.1 时钟分频器 128 11.6.2 阶乘设计 130 11.6.3 时序检测器 132 第12章 建模实例 136 12.1 简单元件建模 136 12.2 建模的不同方式 138 12.3 时延建模 139 12.4 条件操作建模 141 12.5 同步时序逻辑建模 142 12.6 通用移位寄存器 145 12.7 状态机建模 145 12.8 交互状态机 147 12.9 Moore有限状态机建模 150 12.10 Mealy型有限状态机建模 151 12.11 简化的21点程序 153 附录 语法参考 157 参考文献 172
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值