
uvm
sxlwzl
这个作者很懒,什么都没留下…
展开
-
UVM::display的级别和显示方式
`uvm_fatal("", "");原创 2014-08-26 23:14:05 · 14339 阅读 · 0 评论 -
uvm_info信息定制
1,uvm自带UVM_INFO /Desktop/uvm_study/template/sim/sv/my_model.sv(34) @ 226300000: uvm_test_top.env.mdl [my_model] get one transaction, copy and print it:原创 2014-11-19 21:44:44 · 12437 阅读 · 1 评论 -
关于uvm report机制
首先,提一个看似简单的问题:在使用uvm的做验证的时候,经常需要打印信息,比较常用的可能就是`uvm_info 和uvm_report_info之类的了,那么`uvm_info 和 uvm_report_info之间有没有什么区别呢? 下面是`uvm_info的宏展开:108 `define uvm_info(ID,MSG,VERBOSITY) 109 begin 11转载 2014-11-16 22:20:24 · 6339 阅读 · 0 评论 -
vcs dump vpd的方法
1,现在top_tb.sv中打开vpd的设置initial begin $vcdpluson;end2,在Makefile中原创 2014-11-16 18:02:22 · 8545 阅读 · 0 评论 -
uvm set/get的理解
1,问题在env里边set了qlen_db的原创 2014-11-10 20:15:55 · 3895 阅读 · 1 评论 -
uvm uvm_tlm_fifo的使用
1,在env里边定义一个uvm_tlm_fifo接口,用于ref和qlen之间的通信接口原创 2014-11-11 15:35:51 · 4115 阅读 · 0 评论 -
UVM::common phasing
zz: https://verificationacademy.com/cookbook/phasing1 Introduction2 The Standard UVM Phases2.1 Starting UVM Phase Execution2.2 Phase Descriptions2.2.1 Build Phases2.2.1转载 2014-08-31 12:56:53 · 945 阅读 · 0 评论 -
UVM::RunTime phasing
zz : http://www.vmmcentral.org/uvm_vmm_ik/files3/base/uvm_runtime_phases-svh.html转载 2014-08-31 12:59:19 · 864 阅读 · 0 评论 -
UVM::TLM
TLM : transaction level modelingTLM classesuvm_*原创 2014-08-31 12:28:23 · 1187 阅读 · 0 评论 -
UVM::config
1,uvm_config_db2, uvm_resource_db转载 2014-08-31 11:45:05 · 1005 阅读 · 0 评论 -
UVM::全局的工具
1,uvm_top.print_topology2, uvm原创 2014-08-31 11:22:35 · 1307 阅读 · 0 评论 -
UVM::sequence调用sequence_item
例子add原创 2014-08-27 17:37:12 · 1811 阅读 · 0 评论 -
UVM::sequence_item的定义
import uvm_pkg::*;class packet extends uvm_sequence_item; rand int addr; rand int data; rand int len; rand int crc; function new (string name = "packet"); super.new(name); this.crc.rand_原创 2014-08-27 17:00:26 · 2036 阅读 · 0 评论 -
UVM::使用format控制log信息
Task NameDescription$sscanf(str,format,args);$sscanf 将字符串按照某个模板格式进行扫描,其字符串格式和C语言中的printf()函数类似$sformat(str,format,args);$sformat是$sscanf的反函数。将字符串按照给定的格式填入相应的参数args中原创 2014-08-27 11:21:16 · 5830 阅读 · 0 评论 -
UVM::通过cmdline传递参数
uvm_cmdline_processor参考:http://www.dvteclipse.com/uvm-1.1-HTML_API/uvm_pkg-uvm_cmdline_processor.html原创 2014-08-27 12:02:17 · 4338 阅读 · 0 评论 -
UVM::phase的run order
1, build timebulidconnectend_of_elaboration原创 2014-08-26 23:20:17 · 945 阅读 · 1 评论 -
UVM::hello_world
top.svprogram automatic test; import uvm_pkg::*; class hello_world extends uvm_test; `uvm_component_utils(hello_world); function new (string name, uvm_component parent); super.new(name原创 2014-08-26 23:04:38 · 1496 阅读 · 0 评论 -
uvm cmd传递参数 $test$plusargs $value$plusargs
1,$test$plusargsif($test$plusargs) $value$plusargs原创 2014-11-12 22:00:54 · 2354 阅读 · 0 评论