
VHDL学习笔记
VHDL学习记录
光阴似水流年
人类的"懒惰"就是创新的源动力
展开
-
VHDL学习:14位全加器
VHDL学习:14位全加器原创 2022-05-11 15:37:44 · 577 阅读 · 0 评论 -
VHDL学习:4位/8位全加器
VHDL学习记录原创 2022-04-13 21:00:33 · 7728 阅读 · 0 评论 -
VHDL学习:两输入与非门
刚学习VHDL,第一个实验:两输入与非门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY yufei ISPORT( a,b:IN STD_LOGIC; y:OUT STD_LOGIC );END;ARCHITECTURE behaver OF yufei ISBEGIN y <= a NAND b;END behaver...原创 2020-04-23 16:57:47 · 11164 阅读 · 0 评论 -
VHDL学习:两种方式实现四选一选择器
方式一library ieee;use ieee.std_logic_1164.all;entity slect1_4 is port(S1,S2:in std_logic; A,B,C,D:in std_logic; Z:out std_logic);end entity slect1_4;architecture ART of slect1_4 is signal S:std_logic_vector(1 downto 0); begin S <= S1&S2;原创 2021-05-09 19:10:41 · 5754 阅读 · 0 评论