
FPGA
文章平均质量分 57
分享自己的一些FPGA的项目
OMGMac
不坠青云之志
展开
-
FPGA:三种基本门电路设计(与门、或门、非门)
FPGA的设计跟数电是紧密相连的,而我们学习数电时候,学习的第一个内容就是数字逻辑基础,这里面就包含了我们今天要讲解的三种基本的门电路。这里,我们依次讲解过来:1.与门定义:有两个或多个输入,但只有一个输出。只有在所有输入都是高但电平时才会输出高电平的逻辑门表达式:Y=A*B现在我们展示如何用VHDL硬件描述语言来写一个与门出来library ieee; use ieee.std_logic_1164.all;entity myand is port(a,b:in st原创 2021-05-21 10:49:35 · 11846 阅读 · 1 评论 -
FPGA设计:如何用半加器和全加器构成四位全加器
1.一位半加器的程序代码及RTL图library ieee;use ieee.std_logic_1164.all;entity halfadd is port(a,b:in std_logic; s,c:out std_logic); end; architecture rtl of halfadd isbegin s<=a xor b; c<=a and b;end;2.一位全加器的程序代码及RTL图library ieee;use ieee原创 2021-05-20 22:02:41 · 1301 阅读 · 1 评论 -
如何在Quartus II 13.1创建一个项目以及详细教程
今天来分享一下如何在Quartus II 13.1上面创建一个工程,并举了一个简单的例子。首先:第一步我们先打开Quartus II 13.1,会出现如图界面,我们先点击菜单栏的file第二步:点击file下面的创建一个新工程第三步:出现如图所示界面,点击下一步第四步:按如图所示要求填好第五步:点击下一步第六步:选择自己对于的芯片类型和芯片第七步:点击下一步第八步:检查相关参数是否正确,如无误点击完成第九步:点击完成后我们可以看到左下角的层次结构栏里面已经有我们创建的工程了原创 2021-05-20 20:31:28 · 13689 阅读 · 8 评论 -
FPGA设计:介绍三种不同的分频方法
我们这次来讲解使用VHDL语言编写三种不同的分频方法代码如下:第一种:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity devide5m is port(clkin:in std_logic; clkout:buffer std_logic); end; architecture rtl of devide5m原创 2021-05-18 20:53:02 · 2224 阅读 · 0 评论 -
FPGA设计:制作一个频率计
这次把自己做过的一个频率计拿出来跟大家分享一下。项目采用VHDL来编写。一、功能介绍对信号源输入信号的频率进行正确测量并显示测量范围:0-9999Hz;测量精度:1Hz;测量误差:1Hz;因为用的FPGA板只有四个数码管,所以就采用了测量范围为0-9999,后面你们可以根据情况来增加测量范围。项目框图如下:实现的功能是将输入的信号频率测量出来并且通过开发板上面的四个数码管显示出来,采用计频法,测量单位时间的脉冲个数。这里的单位时间我采用一秒,因为值比较小,所以误差范围为1Hz。这里的一秒信原创 2021-05-18 20:57:18 · 2978 阅读 · 0 评论