文章目录
前言
在systemverilog中提供了许多输入输出的系统任务和函数,本文主要介绍以下这些显示相关的任务。
- $display | $displayb | $displayh | $displayo
- $write | $writeb | $writeh | $writeo
- $strobe | $strobeb | $strobeh | $strobeo
- $monitor | $monitorb | $monitorh | $monitoro | $monitoroff | $monitoron
一、显示相关的任务
1.1 $display | $displayb | $displayh | $displayo
$display 十进制显示。
$displayb 二进制显示。
$displayh 十六进制显示。
$displayo 八进制显示。
示例1:
module disp;
initial begin
$display("\\\t\\\n\"\123");
end
endmodule
仿真输出:
\ \
"S
示例2:
module disp;
logic [31:0] rval;
pulldown (pd);
initial begin
rval = 101

本文详细介绍了SystemVerilog中用于显示和监控的系统任务,包括$display, $write, $strobe和$monitor的用法及区别。通过示例展示了各种格式的数值显示,并强调了在仿真中的最佳实践。$display自动添加回车,$strobe确保所有操作完成后再显示,$monitor则在变量变化时持续监控。
最低0.47元/天 解锁文章
1412

被折叠的 条评论
为什么被折叠?



