|
命令 |
作用 |
| -full64 | 支持64位操作系统 |
| -v2k | 支持2001 verilog标准 |
| -sverilog | 支持system verilog语法 |
|
-ntb_opts xxx 例:-ntb_opts uvm-1.2 | 支持UVM架构 |
| -debug_all、-debug、debug_pp |
打开debug开关 |
| -f | 支持xxx.f文件,指定包含文件列表的filelist |
| -R |
编译完成后立即执行 |
| -l | 输出编译log的文件 |
| -o | 修改可执行文件simv文件名 |
| -fsdb | dump fsdb波形 |
| +fsdb+autoflush | view the results immediately,useful in batch mode |
| -parameters filename | 将filename文件中指定的参数更改为此时指定的值 |
| -gui | 启动View图形界面DVE界面 |
| -v lib_file | 搜索指定lib库文件 |
| -y lib_dir | 搜索指定lib库路径 |
| -libext+ext | 搜索具有指定文件扩展名的文件,如+libext+.v+.sv |
| -cm line+cond+fsm+tgl+branch+assert | 针对制定的覆盖率类型编译 |
| -cm_assert_hier | 将断言覆盖率的范围限制为指定文件中 |
| -cm_dir <path> | 指定生成.vdb文件目录 |
| -cm_log+filename.log | 记录仿真过程中的log信息 |
| -cm_name name | 指定中间数据文件的名称 |
| -cm_line svtb | 收集sv的tb中task和function的cov |
| -cm_line svtb+svtb_include+lib | 收集sv和uvm的tb中的task和function中的cov |
| +define+macro | 使用源代码中`ifdef所定义的宏或者define源代码中的宏 |
| +notimingcheck | 在指定块中禁止计时检查 |
| +incdir+directory | 搜索指定include路径下的文件 |
| +nospecify | 在指定块中抑制模块路径延迟和时序检查 |
|
INCL |
在这些目录下查找指定的头文件 |
|
$(shell command) |
将结果赋值给变量 |
makefile脚本示例

+define+DUMP_VPD
`ifdef DUMP_VPD
initial begin
$display("Dump VPD wave!");
$vcdpluson();
//$vcdpluson(0,tb); //记录tb及其所有子模块的波形。
//$vcdpluson(1,tb ); //只记录tb层的波形
//$vcdpluson(2,tb ); //记录tb层和tb下一层的波形
end
`endif
6625

被折叠的 条评论
为什么被折叠?



