1.新建一个tb.sv文件
[lixiaotong@simsrv4 ic_project]$ cat tb.sv
program tb;
initial begin
$display("Successful installation");
end
endprogram
2.运行查看结果
[lixiaotong@simsrv4 ic_project]$ vcs -full64 -debug_all -sverilog -l vcs_comp.log -timescale=1ns/1ps tb.sv
3. 编译
[lixiaotong@simsrv4 ic_project]$ ./simv
Chronologic VCS simulator copyright 1991-2022
Contains Synopsys proprietary information.
Compiler version T-2022.06_Full64; Runtime version T-2022.06_Full64; Oct 15 20:16 2024
Successful installation
$finish at simulation time 0
V C S S i m u l a t i o n R e p o r t
Time: 0 ps
CPU Time: 0.250 seconds; Data structure size: 0.0Mb
Tue Oct 15 20:16:52 2024