服务器安装CenterOS7时出现“Input Signal Out Of Range ”解决办法

在安装CenterOS7.4时遇到InputSignalOutOfRange错误,本文提供了解决方案,通过进入命令安装模式,使用'linuxtext'命令完成操作系统安装。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

服务器安装CenterOS7时出现“Input Signal Out Of Range ”解决办法

在给服务器安装CenterOS 7.4 操作系统的时候,屏幕出现了Input Singal Out Of Range导致无法安装。

网上查阅了很多教程都没有得到帮助,最后通过大牛得到了解决办法,这个分享给大家,如果对你有所帮助,请留下你的赞。


这个是当时现场的图片:

在这里插入图片描述
我们正常启动服务器,进入到安装界面:

在这里插入图片描述
在这里我们按下Esc键,进入到编辑模式,然后输入:linux text

这个命令的作用是:进入到命令安装模式,在这个里面可以通过命令完成操作系统的安装

整个安装步骤和图形界面的安装一致,如果大家不会图形界面安装,可以参考这篇教程:
https://www.cnblogs.com/monjeo/p/7680737.html


按照这个步骤进行安装就可以了。

运行 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity Request_Handler is Port ( clk : in STD_LOGIC; -- 10Hz钟 reset : in STD_LOGIC; -- 输入接口 key_input : in STD_LOGIC_VECTOR(3 downto 0);--键盘输入 key_valid : in STD_LOGIC;--键盘有效 ir_input : in STD_LOGIC_VECTOR(7 downto 0);-- ir_valid : in STD_LOGIC; -- 输出接口 floor_request : out STD_LOGIC_VECTOR(5 downto 0); door_open_req : out STD_LOGIC; door_close_req : out STD_LOGIC; emergency_req : out STD_LOGIC; mode_change : out STD_LOGIC_VECTOR(1 downto 0); -- 00:无变化, 01:模式1, 10:模式2, 11:模式3 -- 新增输出:蜂鸣器和LED指示 buzzer : out STD_LOGIC; -- 蜂鸣器提示(1:响, 0:静音) led_up : out STD_LOGIC; -- LED上行指示(1:亮, 0:灭) led_down : out STD_LOGIC -- LED下行指示(1:亮, 0:灭) ); end Request_Handler; architecture Behavioral of Request_Handler is -- 寄存器信号 signal floor_req_reg : STD_LOGIC_VECTOR(5 downto 0) := "000000"; signal door_open_reg : STD_LOGIC := '0'; signal door_close_reg : STD_LOGIC := '0'; signal emergency_reg : STD_LOGIC := '0'; signal mode_change_reg : STD_LOGIC_VECTOR(1 downto 0) := "00"; -- 蜂鸣器和LED控制 signal buzzer_reg : STD_LOGIC := '0'; signal led_up_reg : STD_LOGIC := '0'; signal led_down_reg : STD_LOGIC := '0'; -- 电梯状态 signal current_floor : integer range 0 to 5 := 0; -- 当前楼层(0~5对应1~6层) signal moving_up : STD_LOGIC := '0'; -- 上行标志 signal moving_down : STD_LOGIC := '0'; -- 下行标志 -- 新增控制信号 signal move_timer : integer range 0 to 9 := 0; -- 移动计器(1秒/层) signal door_timer : integer range 0 to 4 := 0; -- 开门计器(0.5秒) signal target_floor : integer range 0 to 5 := 0; -- 目标楼层 signal has_request : STD_LOGIC := '0'; -- 是否有请求 signal door_state : STD_LOGIC := '0'; -- 门状态(0:关,1:开) begin process(clk, reset) variable highest_req : integer := 0; variable lowest_req : integer := 5; begin if reset = '1' then -- 复位所有寄存器 floor_req_reg <= "000001"; door_open_reg <= '0'; door_close_reg <= '0'; emergency_reg <= '0'; mode_change_reg <= "00"; buzzer_reg <= '0'; led_up_reg <= '0'; led_down_reg <= '0'; current_floor <= 0; moving_up <= '0'; moving_down <= '0'; move_timer <= 0; door_timer <= 0; target_floor <= 0; has_request <= '0'; door_state <= '0'; elsif rising_edge(clk) then -- 默认值设置 door_open_reg <= '0'; door_close_reg <= '0'; emergency_reg <= '0'; mode_change_reg <= "00"; buzzer_reg <= '0'; -- 默认蜂鸣器关闭 -- 处理键盘输入 if key_valid = '1' then buzzer_reg <= '1'; -- 按键有效蜂鸣器响 case key_input is when "0001" => floor_req_reg(0) <= '1'; -- 1层 when "0010" => floor_req_reg(1) <= '1'; -- 2层 when "0011" => floor_req_reg(2) <= '1'; -- 3层 when "0100" => floor_req_reg(3) <= '1'; -- 4层 when "0101" => floor_req_reg(4) <= '1'; -- 5层 when "0110" => floor_req_reg(5) <= '1'; -- 6层 when "1010" => door_open_reg <= '1'; -- 开门 when "1011" => door_close_reg <= '1'; -- 关门 when "1100" => emergency_reg <= '1'; -- 紧急 when "1101" => mode_change_reg <= "01"; -- 模式1 when "1110" => mode_change_reg <= "10"; -- 模式2 when "1111" => mode_change_reg <= "11"; -- 模式3 when others => null; end case; end if; -- 处理红外输入 if ir_valid = '1' then buzzer_reg <= '1'; -- 红外信号有效蜂鸣器响 case ir_input is when x"01" => floor_req_reg(0) <= '1'; -- 1层 when x"02" => floor_req_reg(1) <= '1'; -- 2层 when x"03" => floor_req_reg(2) <= '1'; -- 3层 when x"04" => floor_req_reg(3) <= '1'; -- 4层 when x"05" => floor_req_reg(4) <= '1'; -- 5层 when x"06" => floor_req_reg(5) <= '1'; -- 6层 when x"07" => door_open_reg <= '1'; -- 开门 when x"08" => door_close_reg <= '1'; -- 关门 when x"09" => mode_change_reg <= "01"; -- 模式1 when x"0A" => mode_change_reg <= "10"; -- 模式2 when x"0B" => mode_change_reg <= "11"; -- 模式3 when x"45" => emergency_reg <= '1'; -- 紧急 when others => null; end case; end if; -- 检查是否有请求并确定方向 if floor_req_reg /= "000000" then has_request <= '1'; -- 查找最高和最低请求楼层 highest_req := 0; lowest_req := 5; for i in 0 to 5 loop if floor_req_reg(i) = '1' then if i > highest_req then highest_req := i; end if; if i < lowest_req then lowest_req := i; end if; end if; end loop; -- 方向决策逻辑 if moving_up = '1' and highest_req > current_floor then target_floor <= highest_req; elsif moving_down = '1' and lowest_req < current_floor then target_floor <= lowest_req; elsif highest_req > current_floor then moving_up <= '1'; moving_down <= '0'; target_floor <= highest_req; elsif lowest_req < current_floor then moving_up <= '0'; moving_down <= '1'; target_floor <= lowest_req; elsif floor_req_reg(current_floor) = '1' then -- 当前楼层有请求 door_open_reg <= '1'; floor_req_reg(current_floor) <= '0'; buzzer_reg <= '1'; -- 到达提示音 door_timer <= 4; -- 设置开门间 door_state <= '1'; -- 门状态为开 end if; else has_request <= '0'; moving_up <= '0'; moving_down <= '0'; end if; -- 电梯移动逻辑 if has_request = '1' and door_timer = 0 and door_state = '0' then if move_timer < 9 then move_timer <= move_timer + 1; else move_timer <= 0; -- 上行移动 if moving_up = '1' and current_floor < target_floor then current_floor <= current_floor + 1; if current_floor = target_floor then floor_req_reg(current_floor) <= '0'; door_open_reg <= '1'; buzzer_reg <= '1'; -- 到达提示音 door_timer <= 4; -- 设置开门间 door_state <= '1'; -- 门状态为开 end if; -- 下行移动 elsif moving_down = '1' and current_floor > target_floor then current_floor <= current_floor - 1; if current_floor = target_floor then floor_req_reg(current_floor) <= '0'; door_open_reg <= '1'; buzzer_reg <= '1'; -- 到达提示音 door_timer <= 4; -- 设置开门间 door_state <= '1'; -- 门状态为开 end if; end if; end if; end if; -- 开门计器 if door_timer > 0 then door_timer <= door_timer - 1; if door_timer = 1 then door_state <= '0'; -- 门状态为关 end if; end if; -- 更新LED状态(确保互斥) led_up_reg <= moving_up and not moving_down; led_down_reg <= moving_down and not moving_up; end if; end process; -- 输出映射 floor_request <= floor_req_reg; door_open_req <= door_open_reg; door_close_req <= door_close_reg; emergency_req <= emergency_reg; mode_change <= mode_change_reg; buzzer <= buzzer_reg; led_up <= led_up_reg; led_down <= led_down_reg; end Behavioral;以上代码为电梯控制模块代码,帮我添加一个红外控制功能实现红外同样可以控制楼层的功能,不改变电梯控制模块代码的基础上实现红外也可以控制电梯运行
最新发布
06-08
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

LazyCat_Wei

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值