UVM(一)win10+QuestaSim 从零开始搭建UVM验证环境:Hello UVM

这篇博客详细介绍了如何配置安装QuestaSim、Gitbash,并在Windows环境下搭建UVM验证环境。首先,安装必备软件,然后在指定目录创建项目文件,编写UVM简单模块代码。接着,通过Gitbash进行编译和仿真操作,最终运行UVM测试环境。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

1.安装环境准备: 

(1)安装Questa Sim-64 10.6c

(2)安装Gitbash,参考 软件工具——Git下载安装_qifengsunny的博客-优快云博客_git工具下载安装

(3)安装gvim(可选)

2.搭建第一个UVM环境

(1)新建一个文件夹,这里我的目录是 D:\software\modelsim\project\uvm0

(2)在这个文件夹中新建一个文本文档,命名为 hw.sv

 (3)打开这个sv文件,复制代码块:

module hw ();
  import uvm_pkg::*;
  `include "uvm_macros.svh"

  initial begin
          `uvm_info("hw","hello uvm!",UVM_NONE)
  end

endmodule

(4)在当前文件夹下点击鼠标右键,选择 ”Git Bash Here“

(5)vlib work

(6) set UVM_HOME D:/software/questasim/verilog_src/uvm-1.1d

这里要用你安装后的uvm-1.1d所在路径

 

(7)set WORK_HOME D:/software/modelsim/project/uvm0

 这里的路径就是刚才存放hw.sv的路径

(8)编译sv文件

vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/hw.sv

 

(9)

vsim -novopt -c -sv_lib D:/software/uvm-1.1d/win64/uvm_dpi  work.hw

 

 (10)run -all

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值