1.安装环境准备:
(1)安装Questa Sim-64 10.6c
(2)安装Gitbash,参考 软件工具——Git下载安装_qifengsunny的博客-优快云博客_git工具下载安装
(3)安装gvim(可选)
2.搭建第一个UVM环境
(1)新建一个文件夹,这里我的目录是 D:\software\modelsim\project\uvm0
(2)在这个文件夹中新建一个文本文档,命名为 hw.sv
(3)打开这个sv文件,复制代码块:
module hw ();
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info("hw","hello uvm!",UVM_NONE)
end
endmodule
(4)在当前文件夹下点击鼠标右键,选择 ”Git Bash Here“
(5)vlib work
(6) set UVM_HOME D:/software/questasim/verilog_src/uvm-1.1d
这里要用你安装后的uvm-1.1d所在路径
(7)set WORK_HOME D:/software/modelsim/project/uvm0
这里的路径就是刚才存放hw.sv的路径
(8)编译sv文件
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/hw.sv
(9)
vsim -novopt -c -sv_lib D:/software/uvm-1.1d/win64/uvm_dpi work.hw
(10)run -all