library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; --功能:利用4位共阴极动态数码管实现模值为100的计数器,计数区间0~99,无进位输出 --原理:动态扫描电路,时钟分频,段选位选 entity led is port ( clkin:in std_logic;--时钟输入,也是唯一的输入 clkout:out std_logic_vector(6 downto 0);--段选输出 enout:out std_logic_vector(3 downto 0)--位选输出 ); end entity; architecture behav of led is signal q1: std_logic_vector(6 downto 0);--存储1号管的选段(低位) signal q2: std_logic_vector(6 downto 0);--存储2号管的选段(高位) signal qout: std_logic_vector(6 downto 0);--存储2号管的选段(高位) constant led_num: integer := 2;--使用的数码管数量,限选1~4位,这里使用2个 constant freq: integer := 1000 / led_num;--分频公式,用于计算每秒多少次脉冲,这里以1KHz时钟为准 begin process(clkin)--对时钟脉冲进行处理 variable var_bit: integer range 0
4位共阴极数码管的动态扫描电路VHDL设计
最新推荐文章于 2022-12-31 16:52:20 发布