4位共阴极数码管的动态扫描电路VHDL设计

本文详细介绍了如何使用VHDL设计4位共阴极数码管的动态扫描电路,内容涵盖了数码管显示原理及VHDL编程技巧,适合嵌入式系统开发者学习。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

--功能:利用4位共阴极动态数码管实现模值为100的计数器,计数区间0~99,无进位输出
--原理:动态扫描电路,时钟分频,段选位选

entity led is
port (
    clkin:in std_logic;--时钟输入,也是唯一的输入
    clkout:out std_logic_vector(6 downto 0);--段选输出
    enout:out std_logic_vector(3 downto 0)--位选输出
);
end entity;

architecture behav of led is
    signal q1: std_logic_vector(6 downto 0);--存储1号管的选段(低位)
    signal q2: std_logic_vector(6 downto 0);--存储2号管的选段(高位)
    signal qout: std_logic_vector(6 downto 0);--存储2号管的选段(高位)
    constant led_num: integer := 2;--使用的数码管数量,限选1~4位,这里使用2个
    constant freq: integer := 1000 / led_num;--分频公式,用于计算每秒多少次脉冲,这里以1KHz时钟为准
begin 
    process(clkin)--对时钟脉冲进行处理
        variable var_bit: integer range 0
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值