MM-IV: starter level 1

本文详细介绍了发票过账的几种方法,包括手动录入、电子传输、自动结算及使用SAP系统的流程,并阐述了过账后带来的财务影响。文章还深入探讨了物料平均价/标准价的计算方式,以及在不同情况下如何进行价差过账,通过实例说明了过账过程中的关键步骤。此外,文中还涵盖了发票过账时所需输入的数据、分配规则、工作流程、预付款处理、税率设置、付款折扣处理等内容,旨在提供全面的会计处理指南。

Part I

发票过帐的几个方法:

1. The company receives invoices, then the employee enters and posts them.
2. Invoices can be transmitted electronically using the Internet in IDoc (Intermediate Document) format, via EDI (Electronic Data Interchange), or
in XML format. They can then be posted automatically. When using XML, you need to perform some additional mapping of the formats.
3. Invoices can also be created by accounting programs that run regularly in the SAP ERP system (automatic evaluated receipt settlement, invoicing plan and
consignment/pipeline settlement).
4. If you are using SAP SRM, you can create invoices in the SAP SRM system. You can then transfer them to the SAP ERP system. (BBPIV01?)

image

 

发票过帐带来的结果:

      The system posts the amounts for the individual items to the appropriate accounts.
      The system creates an MM invoice document and an FI document.
      The system updates the purchase order history.
      The system updates the material master, if necessary.

 

物料平均价/标准价 (MAP/Standard Price)

MAP: the valuation price is adjusted to the delivered price

收货时,过帐给stock account的数量,即为采购价*发货数量.

如果发票价不同于订单价,价差过帐到stock account

S:价差过帐到price difference account

 

举例:

image

收货时,stock account是借方(+)

   MAP: stock account是由订单价*收货数量 (2.2*50=110)

   S:     stock account是由标准价*收货数量 (2.0*50=100)  这样的算法,就产生了差额,为了在损益表中体现,该差额过帐到price differences account (110-100=10)
有借必有贷,贷方(-)就冲销到GR/IR clearing account. 冲销金额=订单价*收货数量.(2.20*50=110))

在发票过帐时,GR/IR clearing account被清除, vendor account成为贷方.

 

输入:

发票过帐时,一般需要输入 Document date, purchase order number, Invoice amount, tax amount, terms of payment (if necessary)

              从采购凭证自动带来的数据有Vendor, terms of payment (if defined there), currency, Invoice items;

                                                quantity and amount are derived for each item from the purchase order history

              从供应商主数据和配置带过来的数据有The bank information, Default value for the tax rate

 

 

Allocation:

 

 

Layout: {Variant(screen 6310)/Aggregation(screen 6350}

image

拷贝一个最实惠拉

image

image

Present aggregation criteria

image

 

User Parameter in IV: (as of 4.6C)

The parameter IVFIDISPLAY ensures that the document number of the FI accounting document is displayed in the status bar as well as the MM invoice document number.

The parameter IVAMOUNTADJUST makes it easier to correct the quantity and amount in an invoice item.

image

 

Transaction related to IV:

      Enter Invoice (MIRO)
      Park Invoice (MIR7)
      Cancel Invoice (MR8M)
      Invoice Overview (MIR6)
      Evaluated Receipt Settlement (MRRL)
      Revaluation (MRNB)
      Invoicing Plan (MIRS)
      Invoice Verification in the Background (program RMBABG00)

 

PO-Based IV & GR-Based IV:

imageimage

PO-Based IV在做IV allocation时, 只能调出整单的数量

image

GR-Based IV在做IV allocation时,可以调出各次收货的数量

image

PO history同样验证了该点. 同时可发现,PO-Based, GR-Based对于收货来说,都是同样的表现--收一单现一行.

image

image

GR-Based IV的前提是: 在Invoice标签里勾选,该标记可通过供应商主数据或source of supply带过来. 勾选该标记后,需收货才可发票过帐.

image

 

对于GR-Based IV, 可以选择在做了IV之后,是否允许做102取消收货,或退货等操作. [OMBZ]

imageimage

如果不允许(即不勾选)则在做反向操作时出现Message no. M7021

image

 

 

Note 184632!!! READ!!

 

Part II

Document Parking

image

Hold: (MIRO/MIR7) When you hold a document, the system only performs minimal checks (such as the existence of the company code, vendor, and company code). A MM invoice document is created. No information is transferred yet to Financial Accounting, and no updates are made to the purchase order history.

Park: (MIR7) The balance does not have to be zero. An MM invoice document is created, and the purchase order history is updated. Information is also transmitted to Financial Accounting.

image

Save as complete: (MIR7) The balance must be zero or fall within the tolerance for small differences or the vendor-specific tolerances. The same checks are carried out as for parking documents.  The purchase order commitments are also canceled out.

image

 

Worklist: continue processing held documents, parked documents and documents that are saved as complete.

image

 

MIR6 allows you to access documents that were created by other users.

image

image

 

Tables:

RBKP: MM invoice document
BKPF: Accounting document

 

Summary:

image

for Parked and Save as completed, the FI document contains header information and only one posting line for the vendor account.

image

After posting, it has the below documents:

image

image

image

 

Workflow:

1. WS20001003: Complete parked documents 

2. WS20001004: Release documents that are saved as complete.

 

配置步骤

- Activate Event Linking

image

image

image

 

image

image

- Release criteria

image

同样的情况下,有rel. group的级别更高,可以在这里配置

imageimage

另可在这里做增强:  customer exit EXIT_SAPLMRMC_002 of the enhancement LMR1M005

 

Prepayment: (As of ECC 6.0)

前提

1. Define the document type for the FI document that is generated when an invoice is posted with a prepayment document.

imageimage

2. Prepayment must be permitted in the vendor master record with the Prepayment indicator.

3. There must be a general ledger account defined for transaction PPX (prepayment) in Customizing for the account determination.

image

image

操作: To create an invoice with prepayment, you must enter the invoice with transaction Park Incoming Invoice (MIR7) or transaction Enter Invoice for Invoice Verification in Background (MIRA). The prepayment can also be used during an invoice entry with an Electronic Data Interchange (EDI) or BAPI.

 

image

If the prepayment status of an invoice is (A) Relevant, invoice not yet savedwhen it is parked or held, a prepayment is generated in financial accounting as
an FI invoice. (This FI document is generated with the document type that is specified in the document header on the Detail tab page.) The system posts the relevant data for the payment in financial accounting against a clearing account for the prepayment and generates an open vendor item. The payment of the vendor invoice can then occur independently of the goods receipt entry and invoice verification. An invoice verification does not occur at item level when the prepayment occurs. The system does not determine variances between the invoice and the purchase order or goods receipt. Neither does it set an automatic payment block for the prepayment in accordance with the tolerance limits that can be set in Customizing.

When the held or parked document is posted, the clearing account of the prepayment is balanced instead of the vendor posting and tax posting.

 

Prepayment is not possible in the below:

      For credit memos and subsequent credits
      For one-time vendors
      In conjunction with import sales/purchases tax or acquisition tax
      For invoices whose cash discount base amount is different from the tax base amount
      For invoices with more than one tax rate and which use the Calculate Tax function.
      Invoices with the American tax jurisdiction code.
      For plants with an active material ledger

 

Badi WRF_PREPAY_INVOICE:

Method SET_POSTING_DATE lets you select a posting date other than the system date for the prepayment document.

Method PREPAYMENT_RELEVANCE_CHANGE lets you implement extended logic to control the prepayment relevance (request or reject). To do so, the Business Add-In provides the invoice document header (RBKP) at runtime. Until a prepayment document is posted, you can use method PREPAYMENT_RELEVANCE_CHANGE to override the Prepayment Relevancefield from the header of the current logistics invoice, and thus prevent (or trigger) prepayment.

 

Note:  937129 Restrictions that apply to prepayment

         958136 Advance payment: Credit memo instead of FI reversal document

 

Part III

Tax Code:

Define which tax code is a default for each company code when you enter invoices on the Basic Data tab page.

Define which tax code is a default for unplanned delivery costs on the Detail tab page. The setting for unplanned delivery costs is relevant only if these costs
are posted automatically to a separate G/L account line.

imageimage

Define which values are available in the input help (F4 help) for the Tax Code field in the basic data and the items. (If you enter the tax data on the Tax tab page, the input help proposes all tax codes.)

[OBZT] country based

image

 

Terms of Payment

在做invoice/credit memo/subsequent debit/subsequent credit时,如果有PO作为参考:

- 当goods/service items或goods/service items+planned delivery cost时, terms of payment从PO的header取得

imageimage

- 当planned delivery cost时, From the company code data of the vendor that is planned for the delivery costs. (似乎不对)

image

 

在做invoice/subsequent debit时,如果没有PO作为参考:

From the company code data of the invoicing party (vendor) that is to be entered manually.

 

在做credit memo/subsequent credit时,如果没有PO作为参考:

no proposal

 

配置:

image

[OBB8]                                                                                        [OBB9]

imageimage

 

Cash Discount (Gross posting)

image

If you post the gross amount of an invoice, the system ignores the cash discount amount when you enter the invoice; it posts the cash discount
amount to a Cash Discount account at the time of payment. Therefore, the cash discount amount is not credited to the stock or cost account.

     - The cash discount amount for the net invoice value is posted as a non-operating result.

     - When the invoice is posted, the system first posts a tax amount that is too high, since the tax does not take account of the cash discount. When the  payment is made, the input tax posting is automatically corrected, and the tax amount for the cash discount is credited to the input tax account.

 

Cash Discount (Net posting)

If you post the net amount of an invoice, the system posts the cash discount amount from a cash discount clearing account to the stock or cost account.
This means the system only posts the amount reduced by the cash discount amount to the stock account/costs account. The cash discount clearing
account is cleared at the time of payment.

image

The cash discount amount is already taken into account when the invoice is net posted.
The cash discount amount is posted to a cash discount clearing account; this is cleared at the time of payment.

The offsetting posting to the cash discount clearing account is dependent on the price control of the material:
      If the material is managed with a standard price, the cash discount is credited to the price difference account.
      If the material is managed with a moving average price, the cash discount is credited to the stock account. If there is no stock coverage, then only a
part, for which the stock coverage exists, is posted to the stock account. It posts the remainder to the price difference account.
When the payment is made, the input tax posted in the invoice is corrected.

 

Document Type for Accounting Document

[OMR4]

image

 

Foreign Currency

image

image

If this rate is fixed, the system uses the exchange rate from the purchase order to convert the foreign currency to the local currency at goods receipt
and at invoice receipt.

(勾选,则在GR,IV时,选择采购订单里的汇率)
If the exchange rate is not fixed, the system uses the current exchange rate to convert the foreign currency to the local currency at goods receipt. In
Invoice Verification, the system also suggests the current exchange rate as the exchange factor, but you can change it.

(未勾选,GR时采购后台配置中的汇率,IV时也是,但可以更改.)

所以在未勾选时,可能会有汇率差:

- 当采购订单有科目分配时,汇率差(exchange rate difference)的金额借记/贷记到clearing account

- 当使用移动平均价+stock coverage时,汇率差的金额借记/贷记到stock account

- 当仅使用移动平均价,或标准价, 可以在后台配置[OMRW]选择汇率差的金额借记/贷记到一个特殊的汇率差科目,或一个普通的价差科目price difference account

image

image

 

image

SAP ERP 6.0 Enhancement Package 3 and later feature another alternative in Customizing: You can use the Treatment of Exchange Rate Diffs. in Company
Code Currency indicator to ensure that the amount of the exchange rate difference is always posted in the full amount to an exchange rate difference account,
regardless of the material's price control, and when there are purchase order items with account assignment. The settings of the Treatment of Exch. Rate Differences indicator are no longer relevant in this situation. The stocks or consumption are then valuated for the exchange rate of the goods receipt and not the exchange rate of the invoice. The exchange rate of the purchase order does not come into play when goods receipts are posted and the conversion of the purchase order values into the local currency always occurs for the posting date of the goods receipt.

 

Invoices for POs with Account Assignment

科目分配在以下情况使用: 购买的货物不计入库存管理而是直接消耗; 购买外部服务

科目分配类别(account assignment category)决定了是否需要收货,是否需要做发票过帐.

image

 

image

If a valuated goods receipt is defined for a purchase order with account assignment:

1. The system debits the consumption account at goods receipt.

2. The offsetting entry is made to the GR/IR clearing account and

3. Cleared by the invoice.

4. The system debits or credits price variances to the consumption account.

If no goods receipt or only a non-valuated goods receipt is defined for a purchase order with account assignment

1. the posting to the consumption account occurs directly when the invoice is posted.

 

Multiple Account Assignment

As of SAP ERP 6.0 Enhancement Package 4, a valuated goods receipt can also be posted for purchase order items with multiple account assignment.

激活 LOG_MM_MAA_1

Valuated goods receipt is still not possible for multiple account assignment of subcontracting items.

在多重科目分配时,可以按照数量,百分比或金额来分配

image

另外还有个partial inv.的标记,定义在做发票过帐时,按次序分,或按比例分

image

You can use the BAdI MB_ACCOUNTING_DISTRIBUTE to redefine the distribution to individual account assignments when you enter a valuated goods receipt for a purchase order item with multiple account assignment.

转载于:https://www.cnblogs.com/lazymango/archive/2012/01/04/2311523.html

“D:\Program Files\Java\jdk1.8.0_181\bin\java.exe” -XX:TieredStopAtLevel=1 -noverify -Dspring.output.ansi.enabled=always -Dcom.sun.management.jmxremote -Dspring.jmx.enabled=true -Dspring.liveBeansView.mbeanDomain -Dspring.application.admin.enabled=true “-javaagent:D:\Program Files\JetBrains\IntelliJ IDEA 2019.1.3\lib\idea_rt.jar=52581:D:\Program Files\JetBrains\IntelliJ IDEA 2019.1.3\bin” -Dfile.encoding=UTF-8 -classpath “D:\Program Files\Java\jdk1.8.0_181\jre\lib\charsets.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\deploy.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\access-bridge-64.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\cldrdata.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\dnsns.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\jaccess.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\jfxrt.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\localedata.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\nashorn.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\sunec.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\sunjce_provider.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\sunmscapi.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\sunpkcs11.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\ext\zipfs.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\javaws.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\jce.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\jfr.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\jfxswt.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\jsse.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\management-agent.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\plugin.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\resources.jar;D:\Program Files\Java\jdk1.8.0_181\jre\lib\rt.jar;E:\server3\importdata_qwkh\api\target\classes;C:\Users\Administrator.m2\repository\com\alibaba\fastjson\1.2.3\fastjson-1.2.3.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-web\2.2.5.RELEASE\spring-boot-starter-web-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter\2.2.5.RELEASE\spring-boot-starter-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot\2.2.5.RELEASE\spring-boot-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-autoconfigure\2.2.5.RELEASE\spring-boot-autoconfigure-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-logging\2.2.5.RELEASE\spring-boot-starter-logging-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\ch\qos\logback\logback-classic\1.2.3\logback-classic-1.2.3.jar;C:\Users\Administrator.m2\repository\ch\qos\logback\logback-core\1.2.3\logback-core-1.2.3.jar;C:\Users\Administrator.m2\repository\org\apache\logging\log4j\log4j-to-slf4j\2.12.1\log4j-to-slf4j-2.12.1.jar;C:\Users\Administrator.m2\repository\org\apache\logging\log4j\log4j-api\2.12.1\log4j-api-2.12.1.jar;C:\Users\Administrator.m2\repository\org\slf4j\jul-to-slf4j\1.7.30\jul-to-slf4j-1.7.30.jar;C:\Users\Administrator.m2\repository\org\yaml\snakeyaml\1.25\snakeyaml-1.25.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-json\2.2.5.RELEASE\spring-boot-starter-json-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\core\jackson-databind\2.10.2\jackson-databind-2.10.2.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\core\jackson-annotations\2.10.2\jackson-annotations-2.10.2.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\core\jackson-core\2.10.2\jackson-core-2.10.2.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\datatype\jackson-datatype-jdk8\2.10.2\jackson-datatype-jdk8-2.10.2.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\datatype\jackson-datatype-jsr310\2.10.2\jackson-datatype-jsr310-2.10.2.jar;C:\Users\Administrator.m2\repository\com\fasterxml\jackson\module\jackson-module-parameter-names\2.10.2\jackson-module-parameter-names-2.10.2.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-validation\2.2.5.RELEASE\spring-boot-starter-validation-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\jakarta\validation\jakarta.validation-api\2.0.2\jakarta.validation-api-2.0.2.jar;C:\Users\Administrator.m2\repository\org\hibernate\validator\hibernate-validator\6.0.18.Final\hibernate-validator-6.0.18.Final.jar;C:\Users\Administrator.m2\repository\org\jboss\logging\jboss-logging\3.4.1.Final\jboss-logging-3.4.1.Final.jar;C:\Users\Administrator.m2\repository\com\fasterxml\classmate\1.5.1\classmate-1.5.1.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-web\5.2.4.RELEASE\spring-web-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-beans\5.2.4.RELEASE\spring-beans-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-webmvc\5.2.4.RELEASE\spring-webmvc-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-aop\5.2.4.RELEASE\spring-aop-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-context\5.2.4.RELEASE\spring-context-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-expression\5.2.4.RELEASE\spring-expression-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-tomcat\2.2.5.RELEASE\spring-boot-starter-tomcat-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\jakarta\annotation\jakarta.annotation-api\1.3.5\jakarta.annotation-api-1.3.5.jar;C:\Users\Administrator.m2\repository\org\apache\tomcat\embed\tomcat-embed-core\9.0.31\tomcat-embed-core-9.0.31.jar;C:\Users\Administrator.m2\repository\org\apache\tomcat\embed\tomcat-embed-el\9.0.31\tomcat-embed-el-9.0.31.jar;C:\Users\Administrator.m2\repository\org\apache\tomcat\embed\tomcat-embed-websocket\9.0.31\tomcat-embed-websocket-9.0.31.jar;C:\Users\Administrator.m2\repository\org\mybatis\spring\boot\mybatis-spring-boot-starter\2.1.0\mybatis-spring-boot-starter-2.1.0.jar;C:\Users\Administrator.m2\repository\org\springframework\boot\spring-boot-starter-jdbc\2.2.5.RELEASE\spring-boot-starter-jdbc-2.2.5.RELEASE.jar;C:\Users\Administrator.m2\repository\com\zaxxer\HikariCP\3.4.2\HikariCP-3.4.2.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-jdbc\5.2.4.RELEASE\spring-jdbc-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-tx\5.2.4.RELEASE\spring-tx-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\mybatis\spring\boot\mybatis-spring-boot-autoconfigure\2.1.0\mybatis-spring-boot-autoconfigure-2.1.0.jar;C:\Users\Administrator.m2\repository\org\mybatis\mybatis\3.5.2\mybatis-3.5.2.jar;C:\Users\Administrator.m2\repository\org\mybatis\mybatis-spring\2.0.2\mybatis-spring-2.0.2.jar;C:\Users\Administrator.m2\repository\com\microsoft\sqlserver\mssql-jdbc\6.4.0.jre8\mssql-jdbc-6.4.0.jre8.jar;C:\Users\Administrator.m2\repository\mysql\mysql-connector-java\5.1.30\mysql-connector-java-5.1.30.jar;C:\Users\Administrator.m2\repository\commons-lang\commons-lang\2.6\commons-lang-2.6.jar;C:\Users\Administrator.m2\repository\commons-codec\commons-codec\1.11\commons-codec-1.11.jar;C:\Users\Administrator.m2\repository\org\slf4j\slf4j-api\1.7.30\slf4j-api-1.7.30.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-core\5.2.4.RELEASE\spring-core-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\org\springframework\spring-jcl\5.2.4.RELEASE\spring-jcl-5.2.4.RELEASE.jar;C:\Users\Administrator.m2\repository\cn\easyproject\orai18n\12.1.0.2.0\orai18n-12.1.0.2.0.jar;C:\Users\Administrator.m2\repository\org\assertj\assertj-core\3.13.2\assertj-core-3.13.2.jar;E:\server3\importdata_qwkh\api\lib\kingbase8-8.6.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\ojdbc8\19.3.0.0\ojdbc8-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\ucp\19.3.0.0\ucp-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\oraclepki\19.3.0.0\oraclepki-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\osdt_cert\19.3.0.0\osdt_cert-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\osdt_core\19.3.0.0\osdt_core-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\simplefan\19.3.0.0\simplefan-19.3.0.0.jar;C:\Users\Administrator.m2\repository\com\oracle\ojdbc\ons\19.3.0.0\ons-19.3.0.0.jar;C:\Users\Administrator.m2\repository\commons-httpclient\commons-httpclient\3.1\commons-httpclient-3.1.jar;C:\Users\Administrator.m2\repository\commons-logging\commons-logging\1.0.4\commons-logging-1.0.4.jar;C:\Users\Administrator.m2\repository\org\apache\httpcomponents\httpasyncclient\4.1.4\httpasyncclient-4.1.4.jar;C:\Users\Administrator.m2\repository\org\apache\httpcomponents\httpcore\4.4.13\httpcore-4.4.13.jar;C:\Users\Administrator.m2\repository\org\apache\httpcomponents\httpcore-nio\4.4.13\httpcore-nio-4.4.13.jar;C:\Users\Administrator.m2\repository\org\apache\httpcomponents\httpclient\4.5.11\httpclient-4.5.11.jar” com.nbheyi.hy_menjin.HyMenjinApplication . ____ _ __ _ _ /\ / ’ __ _ () __ __ _ \ \ \ ( ( )__ | '_ | '| | ’ / ` | \ \ \ \/ )| |)| | | | | || (| | ) ) ) ) ’ || .__|| ||| |_, | / / / / =========||==============|/=//// :: Spring Boot :: (v2.2.5.RELEASE) 2025-08-06 17:39:41.390 INFO 13028 — [ main] c.nbheyi.hy_menjin.HyMenjinApplication : Starting HyMenjinApplication on PC-20160722JXJK with PID 13028 (E:\server3\importdata_qwkh\api\target\classes started by Administrator in E:\server3\importdata_qwkh\api) 2025-08-06 17:39:41.397 INFO 13028 — [ main] c.nbheyi.hy_menjin.HyMenjinApplication : No active profile set, falling back to default profiles: default 2025-08-06 17:39:43.909 INFO 13028 — [ main] o.s.b.w.embedded.tomcat.TomcatWebServer : Tomcat initialized with port(s): 8081 (http) 2025-08-06 17:39:43.919 INFO 13028 — [ main] o.apache.catalina.core.StandardService : Starting service [Tomcat] 2025-08-06 17:39:43.920 INFO 13028 — [ main] org.apache.catalina.core.StandardEngine : Starting Servlet engine: [Apache Tomcat/9.0.31] 2025-08-06 17:39:44.036 INFO 13028 — [ main] o.a.c.c.C.[Tomcat].[localhost].[/] : Initializing Spring embedded WebApplicationContext 2025-08-06 17:39:44.037 INFO 13028 — [ main] o.s.web.context.ContextLoader : Root WebApplicationContext: initialization completed in 2452 ms 2025-08-06 17:39:44.669 INFO 13028 — [ main] o.s.s.concurrent.ThreadPoolTaskExecutor : Initializing ExecutorService ‘applicationTaskExecutor’ 2025-08-06 17:39:45.020 INFO 13028 — [ main] o.s.b.w.embedded.tomcat.TomcatWebServer : Tomcat started on port(s): 8081 (http) with context path ‘’ 2025-08-06 17:39:45.024 INFO 13028 — [ main] c.nbheyi.hy_menjin.HyMenjinApplication : Started HyMenjinApplication in 4.434 seconds (JVM running for 5.969) 定时开始 2025-08-06 17:39:50.059 INFO 13028 — [ Timer-0] com.zaxxer.hikari.HikariDataSource : HikariPool-1 - Starting… 2025-08-06 17:40:20.647 ERROR 13028 — [ Timer-0] com.zaxxer.hikari.pool.HikariPool : HikariPool-1 - Exception during pool initialization. com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. at com.microsoft.sqlserver.jdbc.SQLServerException.makeFromDriverError(SQLServerException.java:228) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerConnection.getInstancePort(SQLServerConnection.java:5478) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerConnection.primaryPermissionCheck(SQLServerConnection.java:2151) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerConnection.login(SQLServerConnection.java:1903) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerConnection.connectInternal(SQLServerConnection.java:1762) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerConnection.connect(SQLServerConnection.java:1077) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.microsoft.sqlserver.jdbc.SQLServerDriver.connect(SQLServerDriver.java:623) ~[mssql-jdbc-6.4.0.jre8.jar:na] at com.zaxxer.hikari.util.DriverDataSource.getConnection(DriverDataSource.java:138) ~[HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.pool.PoolBase.newConnection(PoolBase.java:354) ~[HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.pool.PoolBase.newPoolEntry(PoolBase.java:202) ~[HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.pool.HikariPool.createPoolEntry(HikariPool.java:473) [HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.pool.HikariPool.checkFailFast(HikariPool.java:554) [HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.pool.HikariPool.(HikariPool.java:115) [HikariCP-3.4.2.jar:na] at com.zaxxer.hikari.HikariDataSource.getConnection(HikariDataSource.java:112) [HikariCP-3.4.2.jar:na] at org.springframework.jdbc.datasource.DataSourceUtils.fetchConnection(DataSourceUtils.java:158) [spring-jdbc-5.2.4.RELEASE.jar:5.2.4.RELEASE] at org.springframework.jdbc.datasource.DataSourceUtils.doGetConnection(DataSourceUtils.java:116) [spring-jdbc-5.2.4.RELEASE.jar:5.2.4.RELEASE] at org.springframework.jdbc.datasource.DataSourceUtils.getConnection(DataSourceUtils.java:79) [spring-jdbc-5.2.4.RELEASE.jar:5.2.4.RELEASE] at org.mybatis.spring.transaction.SpringManagedTransaction.openConnection(SpringManagedTransaction.java:80) [mybatis-spring-2.0.2.jar:2.0.2] at org.mybatis.spring.transaction.SpringManagedTransaction.getConnection(SpringManagedTransaction.java:67) [mybatis-spring-2.0.2.jar:2.0.2] at org.apache.ibatis.executor.BaseExecutor.getConnection(BaseExecutor.java:336) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.SimpleExecutor.prepareStatement(SimpleExecutor.java:85) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.SimpleExecutor.doQuery(SimpleExecutor.java:62) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.BaseExecutor.queryFromDatabase(BaseExecutor.java:324) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.BaseExecutor.query(BaseExecutor.java:156) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.CachingExecutor.query(CachingExecutor.java:109) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.executor.CachingExecutor.query(CachingExecutor.java:83) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.session.defaults.DefaultSqlSession.selectList(DefaultSqlSession.java:147) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.session.defaults.DefaultSqlSession.selectList(DefaultSqlSession.java:140) [mybatis-3.5.2.jar:3.5.2] at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method) ~[na:1.8.0_181] at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62) ~[na:1.8.0_181] at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43) ~[na:1.8.0_181] at java.lang.reflect.Method.invoke(Method.java:498) ~[na:1.8.0_181] at org.mybatis.spring.SqlSessionTemplate$SqlSessionInterceptor.invoke(SqlSessionTemplate.java:426) [mybatis-spring-2.0.2.jar:2.0.2] at com.sun.proxy.$Proxy63.selectList(Unknown Source) [na:na] at org.mybatis.spring.SqlSessionTemplate.selectList(SqlSessionTemplate.java:223) [mybatis-spring-2.0.2.jar:2.0.2] at org.apache.ibatis.binding.MapperMethod.executeForMany(MapperMethod.java:147) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.binding.MapperMethod.execute(MapperMethod.java:80) [mybatis-3.5.2.jar:3.5.2] at org.apache.ibatis.binding.MapperProxy.invoke(MapperProxy.java:57) [mybatis-3.5.2.jar:3.5.2] at com.sun.proxy.$Proxy64.getTablexx_flc(Unknown Source) [na:na] at com.nbheyi.hy_menjin.timer.importRsxxTimer.import_hyc_xx(importRsxxTimer.java:64) [classes/:na] at com.nbheyi.hy_menjin.timer.importRsxxTimer.access$100(importRsxxTimer.java:18) [classes/:na] at com.nbheyi.hy_menjin.timer.importRsxxTimer$1.run(importRsxxTimer.java:43) [classes/:na] at java.util.TimerThread.mainLoop(Timer.java:555) [na:1.8.0_181] at java.util.TimerThread.run(Timer.java:505) [na:1.8.0_181] org.mybatis.spring.MyBatisSystemException: nested exception is org.apache.ibatis.exceptions.PersistenceException: Error querying database. Cause: org.springframework.jdbc.CannotGetJdbcConnectionException: Failed to obtain JDBC Connection; nested exception is com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. The error may exist in class path resource [mappers/YiDongMapper.xml] The error may involve com.nbheyi.hy_menjin.mapper.mapper4.YiDongMapper.getTablexx_flc The error occurred while executing a query Cause: org.springframework.jdbc.CannotGetJdbcConnectionException: Failed to obtain JDBC Connection; nested exception is com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. at org.mybatis.spring.MyBatisExceptionTranslator.translateExceptionIfPossible(MyBatisExceptionTranslator.java:78) at org.mybatis.spring.SqlSessionTemplate$SqlSessionInterceptor.invoke(SqlSessionTemplate.java:440) at com.sun.proxy.$Proxy63.selectList(Unknown Source) at org.mybatis.spring.SqlSessionTemplate.selectList(SqlSessionTemplate.java:223) at org.apache.ibatis.binding.MapperMethod.executeForMany(MapperMethod.java:147) at org.apache.ibatis.binding.MapperMethod.execute(MapperMethod.java:80) at org.apache.ibatis.binding.MapperProxy.invoke(MapperProxy.java:57) at com.sun.proxy.$Proxy64.getTablexx_flc(Unknown Source) at com.nbheyi.hy_menjin.timer.importRsxxTimer.import_hyc_xx(importRsxxTimer.java:64) at com.nbheyi.hy_menjin.timer.importRsxxTimer.access$100(importRsxxTimer.java:18) at com.nbheyi.hy_menjin.timer.importRsxxTimer$1.run(importRsxxTimer.java:43) at java.util.TimerThread.mainLoop(Timer.java:555) at java.util.TimerThread.run(Timer.java:505) Caused by: org.apache.ibatis.exceptions.PersistenceException: Error querying database. Cause: org.springframework.jdbc.CannotGetJdbcConnectionException: Failed to obtain JDBC Connection; nested exception is com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. The error may exist in class path resource [mappers/YiDongMapper.xml] The error may involve com.nbheyi.hy_menjin.mapper.mapper4.YiDongMapper.getTablexx_flc The error occurred while executing a query Cause: org.springframework.jdbc.CannotGetJdbcConnectionException: Failed to obtain JDBC Connection; nested exception is com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. at org.apache.ibatis.exceptions.ExceptionFactory.wrapException(ExceptionFactory.java:30) at org.apache.ibatis.session.defaults.DefaultSqlSession.selectList(DefaultSqlSession.java:149) at org.apache.ibatis.session.defaults.DefaultSqlSession.selectList(DefaultSqlSession.java:140) at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method) at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62) at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43) at java.lang.reflect.Method.invoke(Method.java:498) at org.mybatis.spring.SqlSessionTemplate$SqlSessionInterceptor.invoke(SqlSessionTemplate.java:426) ... 11 more Caused by: org.springframework.jdbc.CannotGetJdbcConnectionException: Failed to obtain JDBC Connection; nested exception is com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. at org.springframework.jdbc.datasource.DataSourceUtils.getConnection(DataSourceUtils.java:82) at org.mybatis.spring.transaction.SpringManagedTransaction.openConnection(SpringManagedTransaction.java:80) at org.mybatis.spring.transaction.SpringManagedTransaction.getConnection(SpringManagedTransaction.java:67) at org.apache.ibatis.executor.BaseExecutor.getConnection(BaseExecutor.java:336) at org.apache.ibatis.executor.SimpleExecutor.prepareStatement(SimpleExecutor.java:85) at org.apache.ibatis.executor.SimpleExecutor.doQuery(SimpleExecutor.java:62) at org.apache.ibatis.executor.BaseExecutor.queryFromDatabase(BaseExecutor.java:324) at org.apache.ibatis.executor.BaseExecutor.query(BaseExecutor.java:156) at org.apache.ibatis.executor.CachingExecutor.query(CachingExecutor.java:109) at org.apache.ibatis.executor.CachingExecutor.query(CachingExecutor.java:83) at org.apache.ibatis.session.defaults.DefaultSqlSession.selectList(DefaultSqlSession.java:147) … 17 more Caused by: com.microsoft.sqlserver.jdbc.SQLServerException: The server sql2008r2 is not configured to listen with TCP/IP. at com.microsoft.sqlserver.jdbc.SQLServerException.makeFromDriverError(SQLServerException.java:228) at com.microsoft.sqlserver.jdbc.SQLServerConnection.getInstancePort(SQLServerConnection.java:5478) at com.microsoft.sqlserver.jdbc.SQLServerConnection.primaryPermissionCheck(SQLServerConnection.java:2151) at com.microsoft.sqlserver.jdbc.SQLServerConnection.login(SQLServerConnection.java:1903) at com.microsoft.sqlserver.jdbc.SQLServerConnection.connectInternal(SQLServerConnection.java:1762) at com.microsoft.sqlserver.jdbc.SQLServerConnection.connect(SQLServerConnection.java:1077) at com.microsoft.sqlserver.jdbc.SQLServerDriver.connect(SQLServerDriver.java:623) at com.zaxxer.hikari.util.DriverDataSource.getConnection(DriverDataSource.java:138) at com.zaxxer.hikari.pool.PoolBase.newConnection(PoolBase.java:354) at com.zaxxer.hikari.pool.PoolBase.newPoolEntry(PoolBase.java:202) at com.zaxxer.hikari.pool.HikariPool.createPoolEntry(HikariPool.java:473) at com.zaxxer.hikari.pool.HikariPool.checkFailFast(HikariPool.java:554) at com.zaxxer.hikari.pool.HikariPool.(HikariPool.java:115) at com.zaxxer.hikari.HikariDataSource.getConnection(HikariDataSource.java:112) at org.springframework.jdbc.datasource.DataSourceUtils.fetchConnection(DataSourceUtils.java:158) at org.springframework.jdbc.datasource.DataSourceUtils.doGetConnection(DataSourceUtils.java:116) at org.springframework.jdbc.datasource.DataSourceUtils.getConnection(DataSourceUtils.java:79) … 27 more 定时开始 2025-08-06 17:40:50.026 INFO 13028 — [ Timer-0] com.zaxxer.hikari.HikariDataSource : HikariPool-1 - Starting… 检查错误修改代码 server.port= 8081 spring.datasource.primary.driver-class-name=com.kingbase8.Driver #spring.datasource.primary.jdbc-url=jdbc:kingbase8://192.168.1.22:54321/hysoftv23_qwkh?zeroDateTimeBehavior=convertToNull&useUnicode=true&characterEncoding=utf-8 spring.datasource.primary.jdbc-url=jdbc:kingbase8://192.168.1.22:54321/hysoftv23_qwkh?zeroDateTimeBehavior=convertToNull&useUnicode=true&characterEncoding=utf-8&currentSchema=hysoftv23_qwkh spring.datasource.primary.username=nbheyi spring.datasource.primary.password=SA@2010sa spring.datasource.fourth.jdbc-url=jdbc:sqlserver://PC-20160722JXJK\SQL2008R2;DatabaseName=hyoav7_pro_rsxt spring.datasource.fourth.username=sa spring.datasource.fourth.password=sa!@#$%^*(sa spring.datasource.fourth.driver-class-name=com.microsoft.sqlserver.jdbc.SQLServerDriver #�����ݶ�ʱ���� 1000����1�� import_ifstart=true import_period=60000 IV=hrkvgudrbshdgvhi mybatis.mapper-locations=classpath:mappers/*.xml DataSource1Config #logging.level.com = debug
08-07
分析CPLD程序编译不通过对原因并解决:Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:26 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:26 2025 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off i2cfpga -c i2cfpga Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file src/sim/wb_master_model.v Info (12023): Found entity 1: wb_master_model Info (12023): Found entity 1: wb_master_model Info (12021): Found 1 design units, including 1 entities, in source file src/sim/tst_bench_top.v Info (12023): Found entity 1: tst_bench_top Info (12023): Found entity 1: tst_bench_top Info (12021): Found 0 design units, including 0 entities, in source file src/sim/timescale.v Info (12021): Found 1 design units, including 1 entities, in source file src/sim/i2c_master_top.v Info (12023): Found entity 1: i2c_master_top Info (12023): Found entity 1: i2c_master_top Info (12021): Found 0 design units, including 0 entities, in source file src/sim/i2c_master_defines.v Info (12021): Found 1 design units, including 1 entities, in source file src/sim/i2c_master_byte_ctrl.v Info (12023): Found entity 1: i2c_master_byte_ctrl Info (12023): Found entity 1: i2c_master_byte_ctrl Info (12021): Found 1 design units, including 1 entities, in source file src/sim/i2c_master_bit_ctrl.v Info (12023): Found entity 1: i2c_master_bit_ctrl Info (12023): Found entity 1: i2c_master_bit_ctrl Info (15248): File "C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/src/timescale.v" is a duplicate of already analyzed file "C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/src/sim/timescale.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (12021): Found 0 design units, including 0 entities, in source file src/timescale.v Info (12021): Found 1 design units, including 1 entities, in source file src/myram.v Info (12023): Found entity 1: myRAM Info (12023): Found entity 1: myRAM Info (12021): Found 1 design units, including 1 entities, in source file src/i2cslave.v Info (12023): Found entity 1: I2Cslave Info (12023): Found entity 1: I2Cslave Info (15248): File "C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/timescale.v" is a duplicate of already analyzed file "C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/src/sim/timescale.v" (same filename, same library name and same md5 digest). Skipping analysis of this file. Info (12021): Found 0 design units, including 0 entities, in source file timescale.v Info (12021): Found 1 design units, including 1 entities, in source file pulse_reg_top.v Info (12023): Found entity 1: pulse_reg_top Info (12023): Found entity 1: pulse_reg_top Info (12021): Found 1 design units, including 1 entities, in source file pulse_reg_gen4.v Info (12023): Found entity 1: pulse_reg_gen4 Info (12023): Found entity 1: pulse_reg_gen4 Info (12021): Found 1 design units, including 1 entities, in source file pulse_reg.v Info (12023): Found entity 1: pulse_reg Info (12023): Found entity 1: pulse_reg Info (12021): Found 1 design units, including 1 entities, in source file pll4x.v Info (12023): Found entity 1: pll4x Info (12023): Found entity 1: pll4x Info (12127): Elaborating entity "pulse_reg_top" for the top level hierarchy Info (12128): Elaborating entity "I2Cslave" for hierarchy "I2Cslave:I2Cslave_inst" Info (12128): Elaborating entity "pulse_reg" for hierarchy "pulse_reg:pulse_reg_inst" Info (12128): Elaborating entity "pulse_reg_gen4" for hierarchy "pulse_reg_gen4:pulse_reg_gen4_inst" Info (12128): Elaborating entity "pll4x" for hierarchy "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x" Info (12128): Elaborating entity "altpll" for hierarchy "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component" Info (12130): Elaborated megafunction instantiation "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component" Info (12133): Instantiated megafunction "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component" with the following parameter: Info (12134): Parameter "bandwidth_type" = "AUTO" Info (12134): Parameter "clk0_divide_by" = "1" Info (12134): Parameter "clk0_duty_cycle" = "50" Info (12134): Parameter "clk0_multiply_by" = "4" Info (12134): Parameter "clk0_phase_shift" = "0" Info (12134): Parameter "clk1_divide_by" = "1" Info (12134): Parameter "clk1_duty_cycle" = "50" Info (12134): Parameter "clk1_multiply_by" = "4" Info (12134): Parameter "clk1_phase_shift" = "2500" Info (12134): Parameter "compensate_clock" = "CLK0" Info (12134): Parameter "inclk0_input_frequency" = "20000" Info (12134): Parameter "intended_device_family" = "Cyclone IV E" Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll4x" Info (12134): Parameter "lpm_type" = "altpll" Info (12134): Parameter "operation_mode" = "NORMAL" Info (12134): Parameter "pll_type" = "AUTO" Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" Info (12134): Parameter "port_areset" = "PORT_UNUSED" Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" Info (12134): Parameter "port_fbin" = "PORT_UNUSED" Info (12134): Parameter "port_inclk0" = "PORT_USED" Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" Info (12134): Parameter "port_locked" = "PORT_USED" Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" Info (12134): Parameter "port_pllena" = "PORT_UNUSED" Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" Info (12134): Parameter "port_scandata" = "PORT_UNUSED" Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" Info (12134): Parameter "port_scandone" = "PORT_UNUSED" Info (12134): Parameter "port_scanread" = "PORT_UNUSED" Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" Info (12134): Parameter "port_clk0" = "PORT_USED" Info (12134): Parameter "port_clk1" = "PORT_USED" Info (12134): Parameter "port_clk2" = "PORT_UNUSED" Info (12134): Parameter "port_clk3" = "PORT_UNUSED" Info (12134): Parameter "port_clk4" = "PORT_UNUSED" Info (12134): Parameter "port_clk5" = "PORT_UNUSED" Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" Info (12134): Parameter "width_clock" = "5" Info (12134): Parameter "bandwidth_type" = "AUTO" Info (12134): Parameter "clk0_divide_by" = "1" Info (12134): Parameter "clk0_duty_cycle" = "50" Info (12134): Parameter "clk0_multiply_by" = "4" Info (12134): Parameter "clk0_phase_shift" = "0" Info (12134): Parameter "clk1_divide_by" = "1" Info (12134): Parameter "clk1_duty_cycle" = "50" Info (12134): Parameter "clk1_multiply_by" = "4" Info (12134): Parameter "clk1_phase_shift" = "2500" Info (12134): Parameter "compensate_clock" = "CLK0" Info (12134): Parameter "inclk0_input_frequency" = "20000" Info (12134): Parameter "intended_device_family" = "Cyclone IV E" Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll4x" Info (12134): Parameter "lpm_type" = "altpll" Info (12134): Parameter "operation_mode" = "NORMAL" Info (12134): Parameter "pll_type" = "AUTO" Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" Info (12134): Parameter "port_areset" = "PORT_UNUSED" Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" Info (12134): Parameter "port_fbin" = "PORT_UNUSED" Info (12134): Parameter "port_inclk0" = "PORT_USED" Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" Info (12134): Parameter "port_locked" = "PORT_USED" Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" Info (12134): Parameter "port_pllena" = "PORT_UNUSED" Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" Info (12134): Parameter "port_scandata" = "PORT_UNUSED" Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" Info (12134): Parameter "port_scandone" = "PORT_UNUSED" Info (12134): Parameter "port_scanread" = "PORT_UNUSED" Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" Info (12134): Parameter "port_clk0" = "PORT_USED" Info (12134): Parameter "port_clk1" = "PORT_USED" Info (12134): Parameter "port_clk2" = "PORT_UNUSED" Info (12134): Parameter "port_clk3" = "PORT_UNUSED" Info (12134): Parameter "port_clk4" = "PORT_UNUSED" Info (12134): Parameter "port_clk5" = "PORT_UNUSED" Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" Info (12134): Parameter "width_clock" = "5" Info (12021): Found 1 design units, including 1 entities, in source file db/pll4x_altpll.v Info (12023): Found entity 1: pll4x_altpll Info (12023): Found entity 1: pll4x_altpll Info (12128): Elaborating entity "pll4x_altpll" for hierarchy "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated" Info (13000): Registers with preset signals will power-up high Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back Info (286030): Timing-Driven Synthesis is running Info (17049): 3 registers lost all their fanouts during netlist optimizations. Info (128000): Starting physical synthesis optimizations for speed Info (332104): Reading SDC File: 'I2Cslave.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 3 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 20.000 clk Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 20.000 clk Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (128002): Starting physical synthesis algorithm register retiming Info (128003): Physical synthesis algorithm register retiming complete: estimated slack improvement of 1243 ps Info (128002): Starting physical synthesis algorithm combinational resynthesis using boolean division Info (128003): Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:01 Info (144001): Generated suppressed messages file C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/output_files/i2cfpga.map.smsg Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL Info (21057): Implemented 1035 device resources after synthesis - the final resource count might be different Info (21058): Implemented 5 input pins Info (21059): Implemented 11 output pins Info (21060): Implemented 1 bidirectional pins Info (21061): Implemented 1017 logic cells Info (21065): Implemented 1 PLLs Info (21058): Implemented 5 input pins Info (21059): Implemented 11 output pins Info (21060): Implemented 1 bidirectional pins Info (21061): Implemented 1017 logic cells Info (21065): Implemented 1 PLLs Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4846 megabytes Info: Processing ended: Mon Sep 15 13:40:36 2025 Info: Elapsed time: 00:00:10 Info: Total CPU time (on all processors): 00:00:09 Info: Peak virtual memory: 4846 megabytes Info: Processing ended: Mon Sep 15 13:40:36 2025 Info: Elapsed time: 00:00:10 Info: Total CPU time (on all processors): 00:00:09 Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:37 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:37 2025 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off i2cfpga -c i2cfpga Info: qfit2_default_script.tcl version: #1 Info: Project = i2cfpga Info: Revision = i2cfpga Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (119006): Selected device EP4CE6F17C8 for design "i2cfpga" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (15535): Implemented PLL "pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|pll1" as Cyclone IV E PLL type Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 0 degrees (0 ps) for pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[0] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 180 degrees (2500 ps) for pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[1] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 0 degrees (0 ps) for pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[0] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 180 degrees (2500 ps) for pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[1] port Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info (176445): Device EP4CE10F17C8 is compatible Info (176445): Device EP4CE15F17C8 is compatible Info (176445): Device EP4CE22F17C8 is compatible Info (176445): Device EP4CE10F17C8 is compatible Info (176445): Device EP4CE15F17C8 is compatible Info (176445): Device EP4CE22F17C8 is compatible Info (169124): Fitter converted 5 user pins into dedicated programming pins Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1 Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2 Info (169125): Pin ~ALTERA_DCLK~ is reserved at location H1 Info (169125): Pin ~ALTERA_DATA0~ is reserved at location H2 Info (169125): Pin ~ALTERA_nCEO~ is reserved at location F16 Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location C1 Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location D2 Info (169125): Pin ~ALTERA_DCLK~ is reserved at location H1 Info (169125): Pin ~ALTERA_DATA0~ is reserved at location H2 Info (169125): Pin ~ALTERA_nCEO~ is reserved at location F16 Info (332104): Reading SDC File: 'I2Cslave.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 3 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 20.000 clk Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 20.000 clk Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332111): 5.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (176353): Automatically promoted node clk~input (placed in PIN E1 (CLK1, DIFFCLK_0n)) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 Info (176353): Automatically promoted node pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 Info (176353): Automatically promoted node pulse_reg_gen4:pulse_reg_gen4_inst|pll4x:pll4x|altpll:altpll_component|pll4x_altpll:auto_generated|wire_pll1_clk[1] (placed in counter C1 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 Info (176353): Automatically promoted node comb~0 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176356): Following destination nodes may be non-global or may not use global or regional clocks Info (176357): Destination node I2Cslave:I2Cslave_inst|sda_oe~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~2 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_update~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt[0]~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|ld~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~3 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~4 Info (176357): Destination node pulse_reg:pulse_reg_inst|out[7]~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~5 Info (176358): Non-global destination nodes limited to 10 nodes Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176356): Following destination nodes may be non-global or may not use global or regional clocks Info (176357): Destination node I2Cslave:I2Cslave_inst|sda_oe~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~2 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_update~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt[0]~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|ld~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~3 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~4 Info (176357): Destination node pulse_reg:pulse_reg_inst|out[7]~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~5 Info (176358): Non-global destination nodes limited to 10 nodes Info (176357): Destination node I2Cslave:I2Cslave_inst|sda_oe~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~2 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_update~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt[0]~1 Info (176357): Destination node I2Cslave:I2Cslave_inst|ld~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|bit_cnt~3 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~4 Info (176357): Destination node pulse_reg:pulse_reg_inst|out[7]~0 Info (176357): Destination node I2Cslave:I2Cslave_inst|mem_do~5 Info (176358): Non-global destination nodes limited to 10 nodes Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Extra Info (176219): No registers were packed into other blocks Info (128000): Starting physical synthesis optimizations for speed Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:00 Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 1% of the available device resources Info (170196): Router estimated peak interconnect usage is 8% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11 Info (170196): Router estimated peak interconnect usage is 8% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11 Info (170194): Fitter routing operations ending: elapsed time is 00:00:02 Info (11888): Total time spent on timing analysis during the Fitter is 0.62 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 Warning (169177): 6 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin sda uses I/O standard 3.3-V LVTTL at B6 Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at E1 Info (169178): Pin start_gpio uses I/O standard 3.3-V LVTTL at A5 Info (169178): Pin gpio_rstn uses I/O standard 3.3-V LVTTL at B7 Info (169178): Pin scl uses I/O standard 3.3-V LVTTL at B5 Info (169178): Pin k2 uses I/O standard 3.3-V LVTTL at C16 Info (169178): Pin sda uses I/O standard 3.3-V LVTTL at B6 Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at E1 Info (169178): Pin start_gpio uses I/O standard 3.3-V LVTTL at A5 Info (169178): Pin gpio_rstn uses I/O standard 3.3-V LVTTL at B7 Info (169178): Pin scl uses I/O standard 3.3-V LVTTL at B5 Info (169178): Pin k2 uses I/O standard 3.3-V LVTTL at C16 Info (144001): Generated suppressed messages file C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/output_files/i2cfpga.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 2 warnings Info: Peak virtual memory: 5497 megabytes Info: Processing ended: Mon Sep 15 13:40:45 2025 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:04 Info: Peak virtual memory: 5497 megabytes Info: Processing ended: Mon Sep 15 13:40:45 2025 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:04 Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:46 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:46 2025 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off i2cfpga -c i2cfpga Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4697 megabytes Info: Processing ended: Mon Sep 15 13:40:47 2025 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 Info: Peak virtual memory: 4697 megabytes Info: Processing ended: Mon Sep 15 13:40:47 2025 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 Info (293026): Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:48 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:48 2025 Info: Command: quartus_sta i2cfpga -c i2cfpga Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (332104): Reading SDC File: 'I2Cslave.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0]} Info (332110): create_generated_clock -source {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -phase 180.00 -duty_cycle 50.00 -name {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} {pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1]} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1200mV 85C Model Info (332146): Worst-case setup slack is 0.075 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.075 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.143 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 13.520 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.075 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.143 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 13.520 0.000 clk Info (332146): Worst-case hold slack is 0.432 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.432 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.452 0.000 clk Info (332119): 2.878 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.432 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.452 0.000 clk Info (332119): 2.878 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 2.197 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.197 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.219 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.743 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.197 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.219 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.743 0.000 clk Info (332114): Report Metastability: Found 39 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 2.884 ns Info (332114): Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 2.884 ns Info (332114): Info: Analyzing Slow 1200mV 0C Model Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332146): Worst-case setup slack is 0.268 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.268 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 0.299 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 13.807 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.268 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 0.299 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 13.807 0.000 clk Info (332146): Worst-case hold slack is 0.381 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.381 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.401 0.000 clk Info (332119): 2.861 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.381 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.401 0.000 clk Info (332119): 2.861 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 2.169 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.169 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.216 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.753 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.169 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.216 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.753 0.000 clk Info (332114): Report Metastability: Found 39 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 3.209 ns Info (332114): Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 3.209 ns Info (332114): Info: Analyzing Fast 1200mV 0C Model Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Info (332146): Worst-case setup slack is 1.429 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 1.429 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 2.917 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 17.182 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 1.429 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 2.917 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 17.182 0.000 clk Info (332146): Worst-case hold slack is 0.178 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.178 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.186 0.000 clk Info (332119): 2.623 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.178 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 0.186 0.000 clk Info (332119): 2.623 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 2.269 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.269 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.297 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.263 0.000 clk Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 2.269 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[0] Info (332119): 2.297 0.000 pulse_reg_gen4_inst|pll4x|altpll_component|auto_generated|pll1|clk[1] Info (332119): 9.263 0.000 clk Info (332114): Report Metastability: Found 39 synchronizer chains. Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 6.935 ns Info (332114): Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. Info (332114): Number of Synchronizer Chains Found: 39 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 Info (332114): Worst Case Available Settling Time: 6.935 ns Info (332114): Info (332101): Design is fully constrained for setup requirements Info (332101): Design is fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4770 megabytes Info: Processing ended: Mon Sep 15 13:40:50 2025 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 Info: Peak virtual memory: 4770 megabytes Info: Processing ended: Mon Sep 15 13:40:50 2025 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 Info: ******************************************************************* Info: Running Quartus Prime EDA Netlist Writer Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:51 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:51 2025 Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off i2cfpga -c i2cfpga Info (204019): Generated file i2cfpga.vo in folder "C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/simulation/questa/" for EDA simulation tool Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4641 megabytes Info: Processing ended: Mon Sep 15 13:40:51 2025 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 Info: Peak virtual memory: 4641 megabytes Info: Processing ended: Mon Sep 15 13:40:51 2025 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:52 2025 Info: Version 23.1std.1 Build 993 05/14/2024 SC Lite Edition Info: Processing started: Mon Sep 15 13:40:52 2025 Info: Command: quartus_sh -t e:/intelfpga_lite/23.1std/quartus/common/tcl/internal/nativelink/qnativesim.tcl --block_on_gui i2cfpga i2cfpga Info: Quartus(args): --block_on_gui i2cfpga i2cfpga Info: Info: Start Nativelink Simulation process Info: Info: Starting NativeLink simulation with Questa Intel FPGA software Info: Info: Generated Questa Intel FPGA script file C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/simulation/questa/i2cfpga_run_msim_gate_verilog.do Error: Error: Can't launch Questa Intel FPGA Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file. Error: Error: NativeLink simulation flow was NOT successful Info: Info: For messages from NativeLink scripts, check the file C:/Users/YG/Desktop/i2ccpld_20250729/i2ccpld_20250729/i2cfpga0421_restored/i2cfpga_nativelink_simulation.rpt Error (23031): Evaluation of Tcl script e:/intelfpga_lite/23.1std/quartus/common/tcl/internal/nativelink/qnativesim.tcl unsuccessful Error: Quartus Prime Shell was unsuccessful. 4 errors, 0 warnings Error: Peak virtual memory: 4744 megabytes Error: Processing ended: Mon Sep 15 13:40:56 2025 Error: Elapsed time: 00:00:04 Error: Total CPU time (on all processors): 00:00:00 Error: Peak virtual memory: 4744 megabytes Error: Processing ended: Mon Sep 15 13:40:56 2025 Error: Elapsed time: 00:00:04 Error: Total CPU time (on all processors): 00:00:00 Error (293001): Quartus Prime Full Compilation was unsuccessful. 5 errors, 2 warnings
09-16
# 端口 server: port: 8086 http: port: 8085 # 服务端IP用于认证 ip: 127.0.0.1 servlet: context-path: /uupm spring: profiles: active: dev mvc: throw-exception-if-no-handler-found: true # resources: # add-mappings: false application: name: console-server jackson: date-format: yyyy-MM-dd HH:mm:ss time-zone: GMT+8 servlet: multipart: max-file-size: 100MB max-request-size: 100MB mybatis-plus: configuration: log-impl: org.apache.ibatis.logging.stdout.StdOutImpl mapper-locations: classpath:mapper/${project.database}/**/*.xml,classpath:mapper/*.xml global-config: db-config: logic-delete-value: 0 logic-not-delete-value: 1 logic-delete-field: deleted ############## Sa-Token 配置 (文档: https://sa-token.cc) ############## sa-token: # token 名称(同时也是 cookie 名称) token-name: satoken # token 有效期(单位:秒) 默认30天,-1 代表永久有效 timeout: 2592000 # token 最低活跃频率(单位:秒),如果 token 超过此时间没有访问系统就会被冻结,默认-1 代表不限制,永不冻结 active-timeout: -1 # 是否允许同一账号多地同时登录 (为 true 时允许一起登录, 为 false 时新登录挤掉旧登录) is-concurrent: true # 在多人登录同一账号时,是否共用一个 token (为 true 时所有登录共用一个 token, 为 false 时每次登录新建一个 token) is-share: true # token 风格(默认可取值:uuid、simple-uuid、random-32、random-64、random-128、tik) token-style: uuid # 是否输出操作日志 is-log: true #JASYPT加密配置 jasypt: encryptor: bean: sm4Encryptor password: uupm algorithm: PBEWithMD5AndDES key-obtention-iterations: 1000 pool-size: 1 provider-name: SunJCE salt-generator-classname: org.jasypt.salt.RandomSaltGenerator iv-generator-classname: org.jasypt.iv.NoIvGenerator string-output-type: base64 帮我看下这整段application.yml配置是否是 YAML 格式,不是帮我转换一下
最新发布
09-30
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值