- 博客(5)
- 资源 (1)
- 问答 (32)
- 收藏
- 关注
原创 vhdl 程序包的使用
1.定义程序包:为了使已定义的常数、数据类型、元件调用说明、子程序等能被更多的其他设计实体方便地访问和同享,可将它们收集在一个vhdl程序包中。2.语法结构多个程序包可以并入一个vhdl库中一个程序包至少应该包含以下结构中的一种:1.常数说明2.数据结构类型说明3.元件定义4.子程序语法结构:package 程序包名 is程序包首说明部分end 程序包名;package boby 程序包名 is 程序包体说明部分以及包体内容end 程序包名;3.示例程序包文件pack.vh
2022-06-09 10:23:32
1671
原创 vhdl “0 definitions of operator”问题汇总与解决
1.类型不匹配如std_logic类型匹配boolean类型,示例如下library IEEE;use IEEE.std_logic_1164.all;entity top isgeneric( DATA_WIDTH : integer := 16;ADDRESS_WIDTH : integer := 16);port (data_in : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);clk,rst: in STD_LOGIC;cea,ceb
2022-03-03 10:01:18
1403
原创 综合latch 规避
1.case语句条件不全情况module top(out,a, b,c,d,select);output out;input a,b,c,d;input [3:0] select;reg out;always @(select or a or b or c or d)begincase(select)4’b0001: out=a;4’b1110: out=b;4’b1100: out=c;4’b1000: out=d;endcaseendendmodule解决方案:1.添加
2022-02-10 15:51:31
1366
如何打包发布包含activex控件的程序
2015-08-14
mfc 如何通过checkbox控件选择文件夹路径
2015-07-17
如何将mfc工程做成一个软件
2015-07-17
mfc 两个定时器 访问同一个线程,数据重叠问题
2015-07-15
mfc 如何在程序中改变listconstrol某一行的颜色
2015-07-14
mfc 定时器最大可以实现多长时间定时
2015-07-13
CAN总线波特率如何求定时器0和定时器1的数值
2015-06-18
mfc 如何获取字符串中的16进制数据
2015-06-16
mfc 如何实现 10 或 30分钟定时
2015-06-04
mfc 如何获取列表框的表头的字符数据
2015-06-02
mfc 操作csv文件时,无法写入汉字
2015-06-02
mfc excel2007操作问题
2015-06-01
mfc TeeChart的坐标轴数值重复
2015-05-27
mfc 窗口分割 通信 线程函数调用
2015-05-21
mfc 进程问题 MessageBox函数
2015-05-20
mfc listconstrol控件选中行,返回三次数据
2015-05-19
mfc 列表控件改变问题
2015-05-13
mfc 列表控件内部布局如何随控件改变而改变
2015-05-13
类成员函数作为多线程的入口函数如何访问对话框资源
2015-05-12
控件数据如何随窗口的改变而更新控件数据内容
2015-04-29
窗口分割后子窗口控件改变
2015-04-29
子窗口如何获取另一个子窗口的句柄
2015-04-29
通过代码设置TeeChart横纵坐标轴的标题
2015-04-28
mfc的哪种控件可以 绘制坐标图
2015-04-28
mfc 两个对话框通信问题
2015-04-27
mfc 窗口分割,窗口之间通信
2015-04-24
mfc 分割窗口后窗口变化问题
2015-04-23
mfc 对话框窗口分割后通信通信
2015-04-23
基于MFC对话框窗口分割
2015-04-22
MFC对话框不响应标题栏双击
2015-04-22
mfc 列表控件闪烁问题
2015-04-14
TA创建的收藏夹 TA关注的收藏夹
TA关注的人