
FPGA
文章平均质量分 60
神仙约架
欢迎各种FPGA项目骚扰,有需求可以给我留言交流。
展开
-
【xilinx】闩锁中的时间借用
闩锁中的时间借用原创 2024-08-14 11:04:54 · 966 阅读 · 0 评论 -
【xilinx】Xilinx最强FPGA VU系列简介
Xilinx最强FPGA VU系列简介原创 2024-08-12 11:47:19 · 4731 阅读 · 0 评论 -
vscode自动优化verilog 格式
vscode自动优化verilog 格式原创 2024-08-01 15:39:33 · 636 阅读 · 0 评论 -
在实际应用中,systemverilog相比vefilog2000有哪些重大的提升
在实际应用中,systemverilog相比vefilog2000有哪些重大的提原创 2024-07-18 16:31:29 · 1480 阅读 · 0 评论 -
【verilog】Verilog 中的 ifdef 语法指南:Verilog-2001 与 SystemVerilog
Verilog 中的 ifdef 语法指南:Verilog-2001 与 SystemVerilog原创 2024-07-15 16:09:30 · 2731 阅读 · 0 评论 -
【FPGA】Verilog 中 typedef enum 用法教程
在 Verilog 编程中,typedef enum 是 SystemVerilog (SV) 的一个特性,它提供了一种定义新类型的方法,使得代码更加清晰和易于管理。本教程将介绍 typedef enum 的基本用法。原创 2024-07-08 17:55:33 · 3147 阅读 · 0 评论 -
【FPGA】FPGA上的看门狗定时器(WDT):科普与应用
看门狗定时器(Watchdog Timer, WDT)是一种在电子系统中用于防止系统卡死或故障的安全机制。它通过在预定时间后重置系统或触发一个中断来保证系统的稳定运行。如果系统由于某些原因无法正常工作,看门狗定时器可以检测到并采取行动,防止系统长时间处于不可预测的状态。原创 2024-07-08 17:11:50 · 1999 阅读 · 0 评论 -
【FPGA】Verilog 中的 genvar 和 generate 语句教程
【FPGA】Verilog 中的 genvar 和 generate 语句教程原创 2024-06-28 15:50:05 · 4471 阅读 · 0 评论 -
[chisel]马上要火的硬件语言,快来了解一下优缺点
[chisel]马上要火的硬件语言,快来了解一下优缺点原创 2024-06-10 17:08:56 · 1244 阅读 · 0 评论 -
【xilinx】vivado提示No common node between related clocks,什么意思,需要改动设计吗
vivado提示No common node between related clocks,什么意思,需要改动设计吗原创 2024-06-09 22:02:31 · 393 阅读 · 0 评论 -
【xilinx】使用vivado编译中methodology的相关介绍
【xilinx】使用vivado编译中methodology的相关介绍原创 2024-06-09 22:01:58 · 1125 阅读 · 0 评论 -
【FPGA】arm数据总线和axi数据总线有什么异同点?
【FPGA】arm数据总线和axi数据总线有什么异同点?原创 2024-06-08 17:47:05 · 425 阅读 · 0 评论 -
【时序约束】一些关于io输入输出时序约束的实际的参考示例1
【时序约束】一些关于io输入输出时序约束的实际的参考示例1原创 2024-06-08 17:46:37 · 570 阅读 · 0 评论 -
【时序约束】如何确定输入输出信号的时序约束值
如何确定输入输出信号的时序约束值原创 2024-06-07 17:43:54 · 412 阅读 · 0 评论 -
【时序约束】如何对fpga进行io的输入输出时序约束
如何对fpga进行io的输入输出时序约束原创 2024-06-07 17:43:21 · 692 阅读 · 0 评论 -
【内窥镜】内窥镜行业中需要FPGA解决的问题
内窥镜行业中需要FPGA解决的问题原创 2024-06-06 19:11:21 · 816 阅读 · 0 评论 -
【FPGA约束】如何确定FPGA和SDI驱动芯片之间io的时序约束值
【FPGA约束】如何确定FPGA和SDI驱动芯片之间io的时序约束值原创 2024-06-06 19:11:02 · 788 阅读 · 0 评论 -
【FPGA约束】如何对fpga进行io约束
【FPGA约束】如何对fpga进行io约束原创 2024-06-05 19:26:01 · 1327 阅读 · 0 评论 -
【vivado】如何用vivado查看高扇出路径
如何用vivado查看高扇出路径原创 2024-06-05 19:25:44 · 1913 阅读 · 0 评论 -
【FPGA约束】介绍set_property CLOCK_DEDICATED_ROUTE BACKBONE
【FPGA约束】介绍set_property CLOCK_DEDICATED_ROUTE BACKBONE原创 2024-06-01 15:41:22 · 3019 阅读 · 0 评论 -
【xilinx】约束报错-1:[Vivado 12-613] Cannot find cell ‘inst‘ in current context, where current
【xilinx】约束报错-1:[Vivado 12-613] Cannot find cell 'inst' in current context, where current原创 2024-06-01 15:41:01 · 1107 阅读 · 0 评论 -
【xilinx】vivado中的xpm_cdc_gray.tcl的用途
【xilinx】vivado中的xpm_cdc_gray.tcl的用途原创 2024-05-31 15:04:06 · 1251 阅读 · 0 评论 -
【Xilinx】vivado methodology检查中出现的critical Warning
vivado methodology检查中出现的critical Warning原创 2024-05-31 15:00:11 · 1928 阅读 · 0 评论 -
【Xilinx约束】使用set_clock_groups 约束语法处理异步时钟域
【Xilinx约束】使用set_clock_groups 约束语法处理异步时钟域原创 2024-05-30 12:00:05 · 3689 阅读 · 0 评论 -
【Xilinx约束】create_generated_clock 约束语法介绍
Xilinx约束】create_generated_clock 约束语法介绍原创 2024-05-29 13:05:50 · 2545 阅读 · 0 评论 -
【Xilinx】MMCM时钟频率合成、相位调整和时钟管理IP核参数的定义及计算方法
【Xilinx】MMCM时钟频率合成、相位调整和时钟管理IP核参数的定义及计算方法原创 2024-05-29 11:34:40 · 1960 阅读 · 0 评论 -
【INTEL(ALTERA)】使用 PCI Express 的可扩展交换机FPGA IP 时,为什么在仿真中 CFGRd TLP 目标到上游端口的完成数据值始终为零
使用 PCI Express 的可扩展交换机FPGA IP 时,为什么在仿真中 CFGRd TLP 目标到上游端口的完成数据值始终为零原创 2024-05-27 20:55:30 · 206 阅读 · 0 评论 -
【INTEL(ALTERA)】在内存子系统 FPGA IP 中应用预设后,为何 EMIF 子 IP 的参数不正确?
在内存子系统 FPGA IP 中应用预设后,为何 EMIF 子 IP 的参数不正确?原创 2024-05-26 17:08:04 · 263 阅读 · 0 评论 -
【INTEL(ALTERA)】为什么 F-Tile Serial Lite IV 工具包在链路初始化期间无法启用内部串行环回?
为什么 F-Tile Serial Lite IV 工具包在链路初始化期间无法启用内部串行环回?原创 2024-05-26 17:07:39 · 211 阅读 · 0 评论 -
【INTEL(ALTERA)】为什么在 PCI Express* 的 F-Tile Avalon® Streaming FPGA IP 内出现时序违规?
为什么在 PCI Express* 的 F-Tile Avalon® Streaming FPGA IP 内出现时序违规?原创 2024-05-24 16:56:16 · 309 阅读 · 0 评论 -
【无标题】为什么在运行 F-Tile 三速以太网FPGA IP 设计示例时会看到意外的吞吐量结果?
为什么在运行 F-Tile 三速以太网FPGA IP 设计示例时会看到意外的吞吐量结果?原创 2024-05-24 16:56:02 · 219 阅读 · 0 评论 -
【INTEL(ALTERA)】DisplayPort FPGA IP 仅 TX 设计示例在 Quartus® Prime Pro Edition 软件版本 23.4 中无法以 8kp30 分辨率工作
DisplayPort FPGA IP 仅 TX 设计示例在 Quartus® Prime Pro Edition 软件版本 23.4 中无法以 8kp30 分辨率工作原创 2024-05-23 17:21:47 · 253 阅读 · 1 评论 -
【INTEL(ALTERA)】采用 JTAG 频率为 24MHz 或 16Mhz 的非流水线Nios® V/m 处理器,niosv-download 失败
采用 JTAG 频率为 24MHz 或 16Mhz 的非流水线Nios® V/m 处理器,niosv-download 失败原创 2024-05-23 17:20:24 · 624 阅读 · 0 评论 -
【xilinx】用流水线分析和重定时实现尽可能高的频率
用流水线分析和重定时实现尽可能高的频率原创 2024-05-22 18:36:57 · 622 阅读 · 0 评论 -
【xilinx】利用有益歪斜和时间借用优化实现突破性时钟频率
在大型器件上设计定制硬件时,时钟频率往往受整个时钟网络中过度的时钟歪斜的限制,导致数据的时钟超前或滞后。Vivado Design Suite 中的最新优化技术可充分利用这一特性的作用,添加有益歪斜,用以补偿互联逻辑走线网络中的走线时延,从而显著提升工作时钟频率。这种有益的歪斜技术的图解见 图 2。通过在馈给寄存器 B 的时钟路径上引入 0.5ns 叶时钟延迟 , 寄存器 A 的第一个上升沿和寄存器 B 的下一个上升沿直接会有完整的 2.5ns 时延,从而让数据完整地沿长组合逻辑锥传播并且被正确地采集。原创 2024-05-22 18:28:15 · 1060 阅读 · 0 评论 -
【verilog】自动优化verilog的书写格式
如果有些项目要求对verilog代码进行格式整理,但是前期有些他人的代码格式很乱很多,一行行改起来就会很麻烦,下面解释一个方法,实测可行。原创 2024-05-21 23:58:48 · 755 阅读 · 0 评论 -
【Xilinx】常用的全局时钟资源相关Xilinx器件原语
【Xilinx】常用的全局时钟资源相关Xilinx器件原语:IBUFG、IBUFGDS、OBUFGDS 和 IBUFDS、OBUFDS、BUFG、BUFGP、BUFGCE、IBUFDS_GTXE1原创 2024-05-20 19:26:01 · 2475 阅读 · 0 评论 -
【chisel】学习chisel进行FPGA开发的步骤
学习chisel进行FPGA开发的步骤原创 2024-05-19 23:06:32 · 465 阅读 · 1 评论 -
【chisel】在chisel3中使用desiredName模块名称参数化
在chisel3中使用desiredName模块名称参数化转载 2024-05-16 11:11:24 · 73 阅读 · 0 评论 -
【Xilinx】程序可以综合实现,但无法生成bit文件
程序可以综合实现,但无法生成bit文件:[DRC PDCN-1567] BUFGCTRL_CE_pins_both_connected_to_gnd原创 2024-05-15 17:08:40 · 745 阅读 · 0 评论