VCS 三步仿真

部署运行你感兴趣的模型镜像

vcs 支持3step 仿真

优势:可以将不同的库独立analysis, 后面只对有修改过的库做单独的analysis,没有修改的可以不用重新analysis.

Must:

1.synopsys_sim.setup: 放在执行命令的路径下,目的指示lib的位置。

--VCS MX setup file
--Mapping default work directory
WORK > DEFAULT
DEFAULT: ./work
                                                                                                         
--Library Mapping
UVM: ./uvm_work
DUT: ./dut_work
TB: ./tb_work

WORK > DEFAULT

DEFAULT: ./work

这两句的作用是在我们没有用-work lib选项时,把生成的库直接放在./work文件下

TB: ./tb_work

这句的作用是在我们用了 vlogan [options] -work TB选项时,把生成的库放在./tb_work;

:前的名字要跟vlogan -work [name] 中的name相同,:后的是analysis后的输入目录

2.config.sv

`include "uvm_macros.svh"
config cfg;
  design TB.top; // 2top: design TB.hw_top TB.sw_top
  default liblist UVM DUT TB;

  partition package uvm_pkg;
  `include "uvm_macros.svh"
endconfig

default liblist UVM DUT TB;

这句话会根据后面的UVM DUT TB libname 去synopsys_sim.setup中选择相同名字的library,然后找到需要依赖的work 路径

command

1. analyzing

vlogan [vlogan_options]
eg:vlogan -full64 -sverilog -ntb_opts uvm -kdb -lca +vcs+lic+wait +define+UVM_NO_DEPRECATED -work TB cfg.sv test.sv

2.elaborate

vcs [elaborate_options]
eg: vcs -full64 -sverilog -ntb_opts uvm -kdb -lca +vcs+lic+wait TB.cfg -l elab.log  

3.simulation

simv +vcs+lic+wait -l sim.log

demo:

您可能感兴趣的与本文相关的镜像

ACE-Step

ACE-Step

音乐合成
ACE-Step

ACE-Step是由中国团队阶跃星辰(StepFun)与ACE Studio联手打造的开源音乐生成模型。 它拥有3.5B参数量,支持快速高质量生成、强可控性和易于拓展的特点。 最厉害的是,它可以生成多种语言的歌曲,包括但不限于中文、英文、日文等19种语言

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值