计算机数字逻辑设计
ntGrace
这个作者很懒,什么都没留下…
展开
专栏收录文章
- 默认排序
- 最新发布
- 最早发布
- 最多阅读
- 最少阅读
-
Vivado仿真时,信号不能自动加载的原因分析
Vivado使用入门原创 2024-12-04 13:32:55 · 329 阅读 · 0 评论 -
Verilog HDL ——if结构用法比较
对比两段代码的写法和Vivado生成的RTL电路图,可以加深对if结构写法的理解。 代码1: module mux2_1_32_always( input wire [31:0]a, input wire [31:0]b, input wire s, output reg [31:0]y ); always @(*) if (s==0) y=a; else if (s==1) y=b; endmodule 图1-代码1在Vivado中得到的RTL电路 代码2: module mu.原创 2021-07-09 22:29:25 · 2652 阅读 · 0 评论
分享