验证平台加入reference model
reference model用于完成和DUT相同的功能,输出被scoreboard接受,用于和DUT的输出比较
代码分析
my_model 代码
`ifndef MY_MODEL__SV
`define MY_MODEL__SV
class my_model extends uvm_component;
//声明两个接口,用以和monitor和scoreboard传输数据
uvm_blocking_get_port #(my_transaction) port;
uvm_analysis_port #(my_transaction) ap;
extern function new(string name, uvm_component parent);
extern function void build_phase(uvm_phase phase);
extern virtual task main_phase(uvm_phase phase);
`uvm_component_utils(my_model)
endclass
function my_model::new(string name, uvm_component parent);
super.new(name, parent);
endfunction
function void my_model::build_phase(uvm_phase phase);
super.build_phase(phase);
//创建两个接口实例
port = new("port", this);
ap = new("ap", this);
endfunction
task my_model::main_phase(uvm_phase phase);
my_transaction tr;
my_transaction new_tr;
super.main_phase(phase);
while(1) begin
port.get(tr);
new_tr = new("new_tr");
//调用my_transaction的my_copy函数,连续复制DUT传输的TR,并发送出去
new_tr.my_copy