- 博客(45)
- 资源 (3)
- 收藏
- 关注
原创 ESP8266-Wifi模块串口通信
对于6脚ESP8266-wifi模块,将VCC/RXD/TXD/GND四脚与USB转TTL线相连,RXD接TXD,RXD接RXD,VCC接5v电压防止功率不足。8266的模式设置是通过AT指令控制,在此之前首先在电脑上下载好串口调试助手,打开串口调试助手设定COM,波特率为115200,勾选发送新行;AT指令表:AT+CWMODE? //应用模式查询AT+CWMODE=<mode> //应用模式设置(重启后生效)。1-Station模式,2-AP模式,3-
2020-11-13 21:10:26
2222
1
原创 VCS仿真流程及基本操作
VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有行业中较高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco
2020-08-26 11:07:16
4472
原创 基于Cortex-M3的硬件加速模块
要求:1、基于m3搭建一个soc,具备ahb、apb两条总线、具备32KBsram存储器2、基于apb总线接口设计一个计算模块,该计算模块可以用于加速某种计算,比如sin/cos/pi等,全部由硬件来计算,与软件计算用时进行比较软件计算用时:t=5.391s/100000000=53.91ns#include “stdio.h” #include “stdlib.h” #include “time.h” int main( void ) { i...
2020-07-16 11:13:51
1315
1
原创 SOC设计之APB接口的简易SRAM
module apb_sram#(parameter DATA_WIDTH=5'd16,parameter DATA_DEPTH=3'd5,parameter ADDR_WIDTH=7'd32 )(input PCLK,input PSEL,input PWRITE,input [DATA_WIDTH-1:0] PWRDATA,input [ADDR_WIDTH-1:0] PADDR,input SRAM_Rstn,output CEN,output reg [.
2020-07-02 21:56:38
2735
原创 SOC设计之同步FIFO
FIFO:(first in first out)输出吞吐率>输入吞吐率(平均)比特率-吞吐率=流速-流量用途: 匹配两端比特率(瞬间)不一样的情况,数据积累然后打包传送,减少CPU负载(异步-跨时钟域用作速率匹配/同步-数据缓冲)1进快出慢.CPU快于UART,CPU->FIFO->UART2.进慢出快.UART->FIFO->CPUFIFO没有外部读写地址线,只能进行顺序读存---------------FIFO框架--------------(二维存储阵列/读
2020-06-21 10:30:39
415
原创 SOC设计及Verilog学习笔记七
UART:(用于较低速传输)异步传输/无时钟收发的波特率数据有效位需一致发送:并转串/增加起始位及停止位/奇偶校验位电平1-0表明发送数据波特率bps(bit per second)即每秒传输的bit数先发送的数据bit是数据字的最低位(LSB)接受:串转并/剥离起始位和停止位/检查并剥离奇偶校验位UART采样偏差原因(异步导致):晶振时钟频率分频后与波特率无法完全匹配,存在误差累计RS232电平:UART最常用的信号电平Logic1:-25v--3vLogic0:3-25v过渡区:
2020-05-29 15:55:53
790
原创 UVM验证方法学习笔记一
通用验证方法学(Universal Verification Methodology, UVM)是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。上图为UVM结构,UVM包括transaction、interface、driver、sequence、sequencer、monitor、reference model、agent、test、env、top等部分。Transaction(数据):DUT的输入数据,U
2020-05-20 15:49:39
1523
原创 SOC设计及Verilog学习笔记六
Cortex-M3软硬件协同仿真设计(Keil+ModelSim10.1):整个模块包括M3_core、MEM、APB及所连外设、Matrix总线目标功能:软件驱动TIMER/GPIO
2020-05-17 21:07:33
1159
原创 SOC设计及Verilog学习笔记五
ARM Cortex-M3:(32 bit)基于C架构:v7M无cache/MMU(跑Linux必要)含除法指令Bit-bandingThumb-2(两套指令16/32位)CPU内部资源仅允许自己访问Memory Map:ICODE/DCODE AHB只能访问Code Space访问除此之外的外部区域从SYS AHB走(多总线并发提高效率)模块基地址存放在头文件内-地址映...
2020-05-08 15:50:39
280
原创 SOC设计及Verilog学习笔记四
SOC设计-4.3---------------------AMBA总线------------------Master(发起)-Slave(接受)-同类设备端口需一样Arbiter仲裁器Decoder译码器AHB-Master:(UP/DMA/DSP//LCDC)初始化一次读/写操作某时刻只允许一个主设备使用总线AHB-Slave:(EMI/APB bridge/UART)响应...
2020-04-10 15:49:27
778
原创 SOC设计及Verilog学习笔记三
SOC设计课-3.20assign #2 out=in(过于理想,放于Test benchs)电路设计(考虑可综合性)综合工具: 1‘HDL(功能网表) 2'约束条件(性能要求)-根据约束自动选择合适的电路结构进行网表优化3-8译码器实例(两种实现方式)不在case条件中的状态赋值-defultcase条件不全会导致latch(边沿触发)计数器RTC--需要时序逻辑,N...
2020-03-27 15:59:35
575
原创 SOC设计及Verilog学习笔记二
第二章 Verilog HDL:描述层次:门级,RTL级(C=a&b),行为级注释:// /* */大小写敏感宏定义define数值:1、0、x(b不定)、z(高阻)模块例化--函数调用(多例化多调用)并行执行module muxtwo (out,a,b,sel);input a,b,sel;output out;reg out;(声明---被赋值的变量需...
2020-03-23 15:47:19
370
原创 SOC设计及Verilog学习笔记一
SOC/PCB区别:SOC主要模块集成在die中,要含ip/存储器等内存Onenand(较优,可外部纠错)/nandwatchdog timer:对CPU时钟进行校正音频接口:IISDVFS:控制电压调频AMBA:APB/AHB/AXI(速度)SOC基本要素:处理器/存储器/外围模块/IO/总线ASIC:LDO降压转换通用处理器:高性能计算(intel、amd)SOC:弱计算...
2020-03-23 15:46:10
977
2
原创 Silvaco仿真学习
基础结构:平栅-LDMOS:【结构】go atlas# define meshmeshx.m l=0.0 spac=0.3x.m l=1.0 spac=0.018x.m l=2.0 spac=0.018x.m l=3.0 spac=0.3y.m l=-0.02 spac=0.01y.m l=0.0 spac=0.01y.m l=0.3 spac=0.06y.m l=1...
2020-03-23 15:42:52
4443
1
原创 Hspice学习笔记一
.sp文件第一行为标题(必须有)元件:1、MOSM1 G D S B P WP L2、SourceV1 1 0 10V AC 2(节点0.1间,直流10V交流2V,电压源)I1 1 0 5mA(节点0.1间,直流5mA无交流,电流源)I1 1 0 AC=5mA 90(节点0.1间,交流5mA,相位90电流源)3、...
2020-03-11 09:19:49
3286
3
原创 数学模型算法实现之Lingo求解五
线性规划与目标规划目标规划:采用如下方法克服线性规划局限性:1. 设置偏差变量;用偏差变量(Deviational variables)来表示实际值与目标值之间的差异,令 d+ ---- 超出目标的差值,称为正偏差变量 d- ---- 未达到目标的差值,称为负偏差变量 其中d+与d-至少有一个为0约定如下:当实际值超过目标值时,有...
2019-09-16 10:11:44
2512
原创 数学模型算法实现之Lingo求解四
资源分配问题首先,求出目标函数关系式----利润:然后添加约束条件:由于(1)为分段函数,所以要对模型进行化简,分段线性函数化为整数规划模型的解法:设一个n段线性函数f(x)的分点为 引入zk 将x和f(x)表示为 zk 和0-1变量yk满足...
2019-09-16 09:23:27
1049
原创 数学模型算法实现之Lingo求解三
基本集合的定义语法:基本集合的定义格式为(方括号“[ ]”中的内容是可选项, 可以没有):setname [/member_list/] [: attribute_list];其中setname为定义的集合名,member_list为元素列表(下标),attribute_list为属性列表。元素列表可以采用显式列举法(即直接将所有元素全部列出,元素之间用逗号或空格分开),也可以采用隐式...
2019-09-15 17:08:01
2005
原创 数学模型算法实现之Lingo求解二
Shortest_route (最短路径问题)这种最短路径问题也可以用图论MODEL:SETS:CITIES/S,A1,A2,A3,B1,B2,C1,C2,T/:L; !定义城市集合,L(i)为对应的属性(S到城市i最短路径)ROADS(CITIES,CITIES)/ A1,B1 A1,B2 A2,B1 A2,B2 A3,B1 A3,B...
2019-09-15 11:34:11
1079
原创 数学模型算法实现之Lingo求解一
Lingo基本用法说明:模型以model开始,end结束集合相当于下标,QUARTERS={1,2,3,4}Lingo中模型优化由四部分组成:1.集合段(定义集合变量及元素和属性)SETS:------->ENDSETS2.目标与约束段(目标函数、约束条件 )需要用到Lingo内部函数如@SUM和@FOR3.数据段(对集合属性输入必要数据,格式:attribu...
2019-09-14 10:47:50
2393
原创 数学建模论文排版之Latex学习笔记三
Latex论文模板(以18年国一论文为标准)环境:Texmaker+TexLive%以18年A题一等奖论文为例\documentclass[UTF8]{ctexart}%----------------------宏引用-----------------------%\usepackage{abstract}\usepackage{geometry}\usepackage{in...
2019-09-12 16:21:30
1389
原创 数学建模论文排版之Latex学习笔记二
%注释输出%:\%导言区:从\documentclass{article}开始到\begin{document}之前的部分被称为导言区。是对整篇文档进行设置的区域——在导言区出现的控制序列,往往会影响整篇文档的格式。(页面大小、页眉页脚样式、章节标题样式)宏包,就是一系列控制序列的合集。这些控制序列太常用,以至于人们会觉得每次将他们写在导言区太过繁琐,于是将他们打包放在同一个文...
2019-08-28 17:34:15
462
原创 数学建模论文排版之Latex学习笔记一
Latex教程链接:https://liam.page/2014/09/08/latex-introduction/https://www.cnblogs.com/hellowooorld/p/6971974.html工具:Texlive+Texmaker(官网下载)实例1:\documentclass{article} %调用名为article的文档类% 这里是导言区...
2019-08-27 11:17:35
418
原创 数学模型算法实现之多元回归分析模型
处理因变量与多自变量间关系问题-监督学习https://www.cnblogs.com/shengyang17/p/9654972.html 线性回归模型Python回归分析定义: 回归分析是一种统计学上分析数据的方法, 目的在于了解两个或多个变量间是否相关、 相关方向与强度,并建立数学模型以便观察特定变量来预测研究者感兴趣的变量。回归分析思想:虽然自变量和因变量之间没有严格的、...
2019-08-26 15:35:34
631
原创 数学模型算法实现之聚类分析
聚类问题(SPSS)--用于简化数据聚类分析是统计学中研究这种“物以类聚” 问题的一种有效方法,它属于统计分析的范畴。聚类分析的实质是建立一种分类方法,它能够将一批样本数据按照他们在性质上的亲密程度在没有先验知识的情况下自动进行分类。这里所说的类就是一个具有相似性的个体的集合,不同类之间具有明显的区别。聚类分析是一种探索性的分析,在分类的过程中,人们不必事先给出一个分类的标准, 聚类分析能...
2019-08-26 10:54:48
757
原创 数学模型算法实现之主成分分析法
用于评估含有多指标数据的目标//简化指标进行下一步操作(降维)--多元统计分析(SPSS)主成分分析(Principal Component Analysis,PCA),将多个变量通过线性变换以选出较少个数重要变量的一种 多元统计分析方法。 在实际课题中,为了全面分析问题,往往提出很多与此有关的变量(或因素),因为每个变量都在不同程度上反映这个课题的某些信息。主成分:由原始指标综合形成的几个...
2019-08-26 10:39:15
1002
原创 数学模型算法实现之非线性规划模型
非线性规划Model:max=98*x1+277*x2-x1*x1-0.3*x1*x2-2*x2*x2;x1+x2<100;x1<=2*x2;@gin(x1);@gin(x2);%变量取整数end01规划变量仅可取0或1Min=8*x11+13*x12+18*x13+23*x14+10*x21+14*x22+16*x23+27*x24+2*x31+10*...
2019-08-26 09:29:46
315
原创 数学模型算法实现之线性规划模型
线性规划问题:合理安排资源(线性约束条件)求得目标值(线性目标函数)最值--Lingo可行解:满足线性约束条件的解可行域:可行解组成的集合线性规划三要素:决策变量、约束条件、目标函数(Lingo中变量默认大于0)实例如下:max=2*x1+3*x2;x1+2*x2<=8;4*x1<=16;4*x2<=12;...
2019-08-25 14:23:40
384
原创 数学模型算法实现之排队论
排队问题https://wenku.baidu.com/view/475f68cb65ce0508763213a7.html 排队论详解排队论又叫随机服务系统理论或公用事业管理中的数学方法。它是研究各种各样的排队现象的。它所要解决的主要问题是:在排队现象中设法寻求能够达到服务标准的最少设备,使得在满足服务对象条件下,服务机构的花费最为经济,使服务系统效率最高。排队现象作为一种随机现象...
2019-08-25 14:09:08
3317
原创 数学模型算法实现之种群竞争模型
应用:互相竞争的产品、公司、细菌或种群fun.m:function dx=fun(t,x,r1,r2,n1,n2,s1,s2)r1=1;r2=1;n1=100;n2=100;s1=0.5;s2=2;dx=[r1*x(1)*(1-x(1)/n1-s1*x(2)/n2);r2*x(2)*(1-s2*x(1)/n1-x(2)/n2)];p3.m:h=0.1;%所取时间...
2019-08-22 18:52:26
620
原创 数学模型算法实现之模拟退火算法
用模拟退火算法可以对TSP问题进行求解“旅行商问题”的应用领域包括:如何规划最合理高效的道路交通,以减少拥堵;如何更好地规划物流,以减少运营成本;在互联网环境中如何更好地设置节点,以更好地让信息流动等。模拟退火算法的模拟要求:初始温度足够高 降温过程足够慢(比例||递减) 终止温度足够低旅行商问题,即TSP问题(Travelling Salesman Problem)...
2019-08-22 10:10:39
185
转载 数学模型算法之Floyd算法
路径及方案选择tulun2.ma= [ 0,50,inf,40,25,10; 50,0,15,20,inf,25; inf,15,0,10,20,inf; 40,20,10,0,10,25; 25,inf,20,10,0,55; 10,25,inf,25,55,0];[D, path]=floyd(a)floyd.mfuncti...
2019-08-21 10:54:22
310
转载 数学模型算法实现之Dijkstra算法
最优路径选择Dijkstra算法能求一个顶点到另一顶点最短路径。 它是由Dijkstra于1959年提出的。实际它能求出初始点到其它所有顶点的最短路径。Dijkstra算法是一种标号法:给赋权图的每一个顶点记一个数,称为顶点的标号(临时标号,称T标 号,或者固定标号,称为P标号)。T标号表示从始顶点到该标点的最短路长的上界;P标号则是从始顶点到该顶点的最短路长。总结:可以看作从起点往...
2019-08-21 10:30:13
497
转载 数学模型算法实现之灰色预测模型
数据预测灰色预测模型在处理小样本预测问题上较优function []=greymodel(y)% 本程序主要用来计算根据灰色理论建立的模型的预测值。% 应用的数学模型是 GM(1,1)。% 原始数据的处理方法是一次累加法。y=input('请输入数据 ');n=length(y);yy=ones(n,1);yy(1)=y(1);for i=2:n yy(i)=yy...
2019-08-20 19:51:16
308
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人