
CPLD FPGA
文章平均质量分 65
emouse
这个作者很懒,什么都没留下…
展开
-
CPLD被STM32读写VHDL程序
<br /> <br /><br />--本程序用来测试STM32对CPLD的读写操作<br />--测试功能如下:<br />--向0x05地址写入0x01,LED灯停止闪烁,写入其他数据闪烁继续<br />--0x03,0x04寄存器为定值,可以通过STM32读取然后使用串口输出看看是否一致<br /> <br />--文件名:AD.vhd<br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_unsig原创 2011-01-23 19:00:00 · 3194 阅读 · 6 评论 -
Spartan-3E Starter Kit 出厂例程修改与编译
emouse原创文章,转载请注明出处,http://www.cnblogs.com/emouse 手头有个Spartan-3E Starter Kit的板子,三年了,一直没功夫搞,昨天实验室停电回到宿舍,只有这个板子在身边,其他板子都在实验室,所以决定用一下,之前用的都是Altera的FPGA和CPLD,虽然在FPGA以及CPLD这块Xilinx和Altera势均力敌,但是学校教的原创 2012-05-10 11:24:23 · 1577 阅读 · 0 评论 -
attempted to access jtag server internal error code 82 解决方法
网上很多人都出现了这个问题,找了很多也没找到答案,我中间用的是正常的,后面就出现了这个问题。attempted to access jtag server internal error code 82 已经尝试的解决方法: 1、已经关闭了windows防火墙,卡巴斯基 金原创 2011-01-20 16:21:00 · 4053 阅读 · 2 评论 -
AVR+FPGA实现六路闭环电流控制程序
此程序作为我的网络存档之用,看的懂的话也欢迎参考。--2011.7.5凌晨版本--加入闭环控制--原有程序大幅改写,去掉了部分编译警告---------------------------------------------------------------------原创 2011-07-07 00:48:17 · 1904 阅读 · 0 评论 -
STM32与FPGA通信写数据出错问题解决方法
<br />项目中需要使用STM32和FPGA通信,使用的是地址线和数据线,在FPGA中根据STM32的读写模式A的时序完成写入和读取。之前的PCB设计中只使用了8跟数据线和8根地址线,调试过程中没有发现什么问题,在现在的PCB中使用了8根地址线和16根数据线,数据宽度也改成了16位,刚开始是读取数据不正确,后来发现了问题,STM32在16位数据宽度下有个内外地址映射的问题,只需要把FPGA中的设定的地址乘以2在STM32中访问就可以了,但是在写操作的时候会出现写当前地址的时候把后面的地址写成0的情况,比如原创 2011-04-28 20:19:00 · 4620 阅读 · 6 评论 -
STM32 在16位数据宽度下的地址问题
<br />在项目中,原来使用的是八根数据线,读取数据没有问题,在后来改用十六根地址线并把数据宽度改为十六位之后读出来的数据就很奇怪了,经过仔细分析师由于STM32的内外地址映射造成的,具体参照STM32技术参考手册中文翻译第十版的327页,根据我的理解叙述如下:<br /><br />块1存储区被划分为4个NOR/PSRAM区,这四个区在内部地址上是连续排列的。但是实际上每个区共用的是同一组地址线与数据线,因此需要有内外的一个地址映射,因此在STM32中实际上有两个地址,一个是在内部访问的地址,另外一个是原创 2011-04-27 02:33:00 · 3584 阅读 · 0 评论 -
EPCS 无法配置FPGA的解决方法以及JTAG、AS调试总结
今天调试了一下午的FPGA,前几天忙的要死,没空管我现在这块板子,今天决定把fpga部分调试一下。首先遇到的问题是jtag和as模式多试几次都能把程序写进去,但是简单的led闪烁程序就是不能工作,以至于怀疑我的硬件设计问题,之前从来没有设计过fpga的pcb这让我心里很没底,经过五六个小时的奋战,总结如下:<br />我用的是EPCS16,FPGA是EP2C5,软件用的是Quartus II9.1 经过我的测试,软件默认生成的pof文件无法在epcs16中无法配置fpga,需要使用file-convert原创 2011-04-14 21:04:00 · 4081 阅读 · 0 评论 -
ADS8364 VHDL程序正式版
<br />这个程序的寄存器读取时和STM32通讯的,之前有一个是和AVR通讯的,这个程序已经调试通过,原理比较简单,相信认真看的都能够明白。<br /><br />因为ADS8364为差分AD,所以其输出为补码形式,按照2.5V的参考电压源输出的数据范围为-32768~+32768,如果AIN- 连到VREF(2.5V),那么当AIN+ 输入为0时输出的数据为0x8000,如果AIN+ 输入为2.5V则输出数据为0x0000,AIN+ 输入为5V时输出数据位0x7F。程序如下:<br /><br />--原创 2011-03-27 18:39:00 · 1897 阅读 · 0 评论 -
VHDL ADS8364采集程序
<br /><br />这个程序是在上一篇和AVR通讯 以及PWM控制的基础之上写的,这个程序是有问题的,具体问题在8364的EOC信号低电平时间只有不到1us,时间很短,而他的时钟信号不能超过5M,这个eoc信号触发外部中断来读取数据没有问题,但是在这个程序的第二第三个状态机中病没有检测到这个信号,所以出现的状况就是一直卡在第二个状态机。<br /> <br />另外由于每个状态机可能有不同的延迟,通过仿真发现毛刺现象比较突出,现在正在写改进的程序,这个程序仅作参考。<br /> <br />librar原创 2011-03-25 21:29:00 · 1543 阅读 · 0 评论 -
CPLD 与AVR通信 PWM控制程序
<br /><br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_arith.all;<br />use ieee.std_logic_unsigned.all;<br /> <br />entity KBCtest is<br />port(<br />rst,clk:instd_logic;--时钟和复位信号<br />--AVR 读写相关信号线<br />ale,rd,wr:in std_logic;原创 2011-03-23 19:58:00 · 1211 阅读 · 0 评论 -
SOPC及其技术
<br />微电子技术的近期发展成果,为SOC的实现提供了多种途径。对于经过验证而又具有批量的系统芯片,可以做成专用集成电路ASIC而大量生产。而对于一些仅为小批量应用或处于开发阶段的SOC,若马上投入流片生产,需要投入较多的资金,承担较大的试制风险。最近发展起来的SOPC技术则提供了另一种有效的解决方案,即用大规模可编程器件的FPGA来实现SOC的功能。可编程逻辑器件产生于20世纪70年代。其出现的最初目的是为了用较少的PLD品种替代种类繁多的各式中小规模逻辑电路。在30多年的发展过程中,PLD的结构转载 2011-03-22 15:19:00 · 1429 阅读 · 0 评论 -
modelsim se 10.1a 下载与破解
学HDL避免不了的要用到modelsim,遗憾的是至今也没怎么用,昨天下载了modelsim装上了,鼓捣破解鼓捣了一阵子。 我是一个软件控,除非是对就器件不支持或者有明显bug的一般都会尝试用最新版,觉得既然搞电子做嵌入式就得更得上潮流,能适应得了新环境。所以很多软件,哪怕是用的再顺的除了变化较大的新版也会毫不犹豫去尝试。好了,闲话不多说,看一下modelsim,modelsim原创 2012-05-10 11:25:09 · 6516 阅读 · 3 评论