#Clock cycle period constraint
create_clock -period 10.000 -name sysclk [get_ports diff_clock_clk_p]
set_property PACKAGE_PIN AH22 [get_ports diff_clock_clk_p]
set_property IOSTANDARD DIFF_SSTL12 [get_ports diff_clock_clk_p
set_property IOSTANDARD LVCMOS18 [get_ports {
LED_o[*]}
vivado 常见引脚约束文件的写法--通配符及bit文件压缩
于 2022-09-01 17:17:39 首次发布
本文介绍了如何在设计中设置clock周期、使用特定的I/O标准,并详细展示了针对diff_clock_clk_p、LED_o和RSTn_i等端口的设置过程。重点讲解了如何压缩位流并确保技术细节的精确性。

最低0.47元/天 解锁文章

被折叠的 条评论
为什么被折叠?



