UVa 10177 - (2/3/4)-D Sqr/Rects/Cubes/Boxes?

本文详细阐述了通过编程实现特定数学序列的计算方法,包括平方和、立方和、四次幂和及其相关公式。

对于每个n所对应的6个数,有以下规律:

a1=∑i^2(i=1…n);

a2=(∑i(i=1…n))^2-a1;

a3=∑i^3(i=1…n);

a4=(∑i(i=1…n))^3-a3;

a5=∑i^4(i=1…n);

a6=(∑i(i=1…n))^4-a5;


#include <iostream>

using namespace std;

int main()
{
    int n;
    while(cin>>n)
    {
        long long a1=0,a2=0,a3=0,t=0;
        for(long long i=1;i<=n;i++)
        {
            a1+=i*i;
            a2+=i*i*i;
            a3+=i*i*i*i;
            t+=i;
        }
        cout<<a1<<" "<<(t*t)-a1<<" "<<a2<<" "<<(t*t*t)-a2
        <<" "<<a3<<" "<<(t*t*t*t)-a3<<endl;
    }
    return 0;
}


6_v1r3_server/verify_area_key//lib/libjansson.a -Wl,--no-whole-archive -lpthread -lm /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-armv8-mont.o): in function `bn_mul_mont&#39;: (.text+0x0): multiple definition of `bn_mul_mont&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-armv8-mont.o):(.text+0x0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_mul_add_words&#39;: bn_asm.c:(.text+0x0): multiple definition of `bn_mul_add_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_mul_words&#39;: bn_asm.c:(.text+0x140): multiple definition of `bn_mul_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x140): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_sqr_words&#39;: bn_asm.c:(.text+0x234): multiple definition of `bn_sqr_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x234): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_div_words&#39;: bn_asm.c:(.text+0x2e4): multiple definition of `bn_div_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x2e4): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_add_words&#39;: bn_asm.c:(.text+0x410): multiple definition of `bn_add_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x410): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_sub_words&#39;: bn_asm.c:(.text+0x520): multiple definition of `bn_sub_words&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x520): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_mul_comba8&#39;: bn_asm.c:(.text+0x680): multiple definition of `bn_mul_comba8&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x680): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_mul_comba4&#39;: bn_asm.c:(.text+0xe10): multiple definition of `bn_mul_comba4&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0xe10): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_sqr_comba8&#39;: bn_asm.c:(.text+0x1000): multiple definition of `bn_sqr_comba8&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x1000): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-bn_asm.o): in function `bn_sqr_comba4&#39;: bn_asm.c:(.text+0x1620): multiple definition of `bn_sqr_comba4&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-bn_asm.o):bn_asm.c:(.text+0x1620): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_encrypt1&#39;: des_enc.c:(.text+0x0): multiple definition of `DES_encrypt1&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_encrypt2&#39;: des_enc.c:(.text+0x12b0): multiple definition of `DES_encrypt2&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x12b0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_encrypt3&#39;: des_enc.c:(.text+0x24c0): multiple definition of `DES_encrypt3&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x24c0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_decrypt3&#39;: des_enc.c:(.text+0x25c0): multiple definition of `DES_decrypt3&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x25c0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_ncbc_encrypt&#39;: des_enc.c:(.text+0x26c0): multiple definition of `DES_ncbc_encrypt&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x26c0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o): in function `DES_ede3_cbc_encrypt&#39;: des_enc.c:(.text+0x2b20): multiple definition of `DES_ede3_cbc_encrypt&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):des_enc.c:(.text+0x2b20): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-des_enc.o):(.rodata+0x0): multiple definition of `DES_SPtrans&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-des_enc.o):(.rodata+0x0): first defined here /opt/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/../lib/gcc/aarch64-none-linux-gnu/10.3.1/../../../../aarch64-none-linux-gnu/bin/ld: /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(liblegacy-lib-fcrypt_b.o): in function `fcrypt_body&#39;: fcrypt_b.c:(.text+0x0): multiple definition of `fcrypt_body&#39;; /home/thn/at606_v1r3_server/verify_area_key//lib/libcrypto.a(libcrypto-lib-fcrypt_b.o):fcrypt_b.c:(.text+0x0): first defined here collect2: error: ld returned 1 exit status make: *** [Makefile:44: app] Error 1&#39;
11-19
posedge@EDA:/mnt/hgfs/VMware/集成电路验证/ces_uvm-1.2_2017.12/labs/lab1$ vcs -sverilog -ntb_opts uvm-1.2 test.sv *** Using c compiler gcc-4.8 instead of cc ... Chronologic VCS (TM) Version O-2018.09-SP2_Full64 -- Mon Dec 1 09:44:57 2025 Copyright (c) 1991-2018 by Synopsys Inc. ALL RIGHTS RESERVED This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure. Parsing design file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39; Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Parsing included file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh&#39;. Back to file &#39;/opt/synopsys/vcs-mx2018/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv&#39;. Parsing design file &#39;test.sv&#39; Top Level Modules: test No TimeScale specified Error-[DDCBA] Daidir cannot be accessed DaiDir directory "simv.daidir" does not have read/write access or is not a directory. Please check directory permissions. 1 error CPU time: 11.798 seconds to compile
最新发布
12-02
评论
成就一亿技术人!
拼手气红包6.0元
还能输入1000个字符
 
红包 添加红包
表情包 插入表情
 条评论被折叠 查看
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值