绿皮书P192 7.4事件

SystemVerilog引入了triggered函数
实验code:
`timescale 1ns/1ps
module a();
event e1;
initial begin
#500ns;
->e1;
end
initial begin
$display("@%0t: wait e1.triggered", $time);
wait(e1.triggered);
$display("@%0t: ok e1.triggered", $time);
end
endmodule // a
运行结果:

备注:
若按照书上写triggered()会编译报错:

可能与vcs编译器版本有关,
用@沿判断事件发生,一般都会符合预期,
当然wait的个别使用场景用@不可替代,比如已触发不阻塞,见绿皮书7.4.2

1175

被折叠的 条评论
为什么被折叠?



