- 博客(54)
- 收藏
- 关注
原创 被嫌弃的厕纸
自己在外企工作的四年时间里,因为工作的划分很细微,所以自己熟悉的领域也仅仅只有很小的一部分。也是从这时候开始,让我真正的感觉到尊严被人踏在脚下,为了每个月的房贷,早上出门的时候,天是黑色的,晚上下班的时候,天还是黑色的……也许,可能困扰我两周的一个问题,与同事沟通也就需要一句话的功夫,领导给我们制定了详细的工作流程,让工作变得轻松了很多。接下来的一个月,自己也尝试了找工作,给家附近的公司投了很多简历,得到的反馈是自己的工作经验达不到要求,因为我在外企的工作不能被算入……聊聊我的职场过往,听听厕纸的半生。
2025-01-05 10:22:29
252
1
转载 【System Verilog and UVM基础入门19】UVM_DISABLE_AUTO_ITEM_RECORDING用法说明
寄存器访问时首先会将uvm_reg_bus_op转换为自定义的xaction形式,之后通过调用start_item和finish_item完成xaction的发送.发送完成之后,通过bus_req.end_event.wait_on()等待交互结束.调试ral模型时,调用write函数对寄存器进行写操作,发现操作没有完成.driver中在get_next_item之后也调用了item_done.开始以为是ral模型中参数配置错误导致的,分析完代码之后没有发现问题.
2023-07-18 14:46:24
735
原创 【System Verilog and UVM基础入门21】get_type_name和get_full_name学习
UVM和SV学习深入思考
2022-03-15 17:07:31
1119
原创 【System Verilog and UVM基础入门27】UVM Virtual Sequence
面向多个sequence如果需要同时挂载到sequencer时,那就面临着仲裁的需要,uvm_sequencer自带有仲裁特性。//sequence_and_sequencerclass bus_trans extends uvm_sequence_item; rand int_data; `uvm_objects_utils_begin(bus_trans) `uvm_field_int(data,UVM_ALL_ON) `uvm_object_utils_endendc..
2022-03-14 14:27:18
362
原创 【System Verilog and UVM基础入门18】driver and sequencer handshake
uvm语法,sequencer和driver
2022-03-14 11:50:01
252
原创 【System Verilog and UVM基础入门1】验证World
父亲告诉过我们,知识要用来分享,用来传播。只有大家都进步了,才能推动整个行业的发展!
2022-03-12 11:27:11
307
2
modelsim仿真
2025-03-23
labview fpga仿真
2025-03-15
TA创建的收藏夹 TA关注的收藏夹
TA关注的人