野火FPGA系列教学视频---入门点灯

本文介绍了一个简单的点亮LED的过程,包括原理图理解、RTL代码编写、使用Quartus_Ⅱ进行设计输入及ModelSim波形查看等步骤,并最终将设计烧录到开发板上验证。

首先,我们先看原理图

按键按下KEY4是低电平,按键不按是高电平。 

 

新建01_led文件夹

创建四个小文件夹

doc文件夹下放置绘制的时序图

rtl文件夹编写我们的代码

module led
(
    input   wire        key_in,
    output  wire        led_out
);
assign led_out=key_in;
endmodule

接着,打开Quartus_Ⅱ

 接着在ModelSim中查看波形图

 设置相应引脚

最后,烧录到开发板

 点灯成功

 

 

### 安装USB-Blaster驱动 野火FPGA开发板通常使用Altera(现为Intel)的USB-Blaster作为JTAG下载器,用于将设计好的逻辑电路烧录到FPGA芯片中。在安装Quartus Prime软件之后,如果未自动安装USB-Blaster驱动程序,则需要手动进行安装。 1. **检查设备管理器**:连接USB-Blaster至计算机后,打开“设备管理器”,查看是否有未知设备或带有黄色感叹号的设备出现。这表明系统未能正确识别该硬件[^1]。 2. **启动Quartus并尝试自动检测**:打开Quartus Prime软件,在Tools菜单下选择Programmer选项。此时软件会尝试与USB-Blaster通信,并可能提示发现新硬件。按照向导指示完成驱动安装过程。 3. **手动更新驱动程序**: - 在设备管理器里找到对应的USB-Blaster设备。 - 右键点击选择“更新驱动程序”。 - 选择浏览计算机上的驱动程序文件夹。 - 指定路径至Quartus安装目录下的drivers子目录,例如`C:\altera\18.0\quartus\drivers`[^1]。 4. **确认驱动状态**:再次进入Programmer界面,确保能够看到USB-Blaster出现在Hardware Setup中的列表里,并且状态显示为Ready[^1]。 ### 配置软件环境 为了能够在野火FPGA开发板上顺利地进行开发工作,除了安装USB-Blaster驱动外,还需要配置相关的开发工具链。 1. **安装Quartus Prime**:根据项目需求下载并安装合适版本的Quartus Prime,推荐使用稳定版如18.0[^2]。 2. **集成ModelSim SE**:为了实现仿真功能,可以将ModelSim SE集成进Quartus环境中。通过Tools -> Options -> EDA Tool Options来指定ModelSim的安装路径[^2]。 3. **设置工程属性**:创建新的Quartus工程时,请确保选择了正确的器件型号以及目标板卡信息。这些设置对于后续编译、综合及布局布线步骤至关重要。 4. **编写测试代码**:以简单的按键控制LED为例,可以通过Verilog HDL语言编写一个基础模块,然后利用Quartus对其进行综合与适配处理[^2]。 ```verilog module key_led( input wire clk, input wire rst_n, input wire key, output reg led ); always @(posedge clk or negedge rst_n) begin if (!rst_n) led <= 1'b0; else led <= key ? 1'b1 : 1'b0; end endmodule ``` 5. **下载至开发板**:最后一步是使用Programmer工具把生成的.sof文件传输给FPGA芯片。在此之前建议先执行一次全速仿真验证逻辑行为是否符合预期结果[^2]。 以上就是关于如何在野火FPGA开发板上安装USB-Blaster驱动及其相关软件环境的基本指南。遵循上述步骤应该可以帮助解决初次使用者遇到的一些常见问题。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值