
FPGA
yuntong1105
这个作者很懒,什么都没留下…
展开
-
Moore和Mealy型FSM
MooreMealymodule top_module ( input clk, input areset, input x, output z); parameter A=2'b01, B=2'b10; reg [1:0] state, next_state; always @(*)begin case(state) A: begin ...原创 2020-11-15 16:08:35 · 518 阅读 · 0 评论 -
CMake
查看变量cmake --help-variable CMAKE_MODULE_PATH常用变量CMAKE_MODULE_PATH查看变量后发现该变量默认为空,需要自己定义。这个变量用来定义自己的cmake模块所在的路径。如果工程比较复杂,有可能会自己编写一些cmake模块,这些cmake模块是随工程发布的,为了让cmake在处理CMakeLists.txt时找到这些模块,你需要通过SET指令将cmake模块路径设置一下。比如SET(CMAKE_MODULE_PATH,${PROJECT_SOUR原创 2020-11-05 22:03:12 · 3544 阅读 · 1 评论 -
Verilog语言快速入门
Verilog语言快速入门基本框架module<模块名> (端口列表)端口说明input outputinout参数定义数据类型定义连续赋值语句assign过程块 initial(行为描述语句)always(行为描述语句)低层模块实例任务和函数延时说明块endmodule行为描述语句只能出现在initial、always两种语句内。q=a+b;q<=a+b这样的行为语句只能出现在always块内,而且always块内只能使用reg变量。原创 2020-08-27 16:28:52 · 4345 阅读 · 1 评论 -
Verilog中Latch的产生
Verilog中Latch的产生例子module test(Din, CS, WR, Dout ) ; input CS, WR; input Din; output Dout; reg Dout; always @ (CS or WR or Din) begin if (!CS) if(WR) Dout = Din; else Dout = Dout; else Dout = 1'bz; endendmodule在上述例子中,CS是清原创 2020-08-27 11:53:32 · 3237 阅读 · 0 评论 -
FPGA开发记录(三)
添加VHDL或者Verilog文件自己手动新建vhdl或者verilog文件,写好实体名,编译,便会自动生产实体并且绑定文件。VHDL中process语句执行顺序详解library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity test is port( clk: in std_logic; c_i: in std_logic;原创 2020-08-24 17:25:37 · 182 阅读 · 0 评论 -
FPGA开发记录(一)
Stratix IV: EP4SE530H35C2N开发流程记录测试下载Quartus II 13.1 完全版并破解。安装usb-blaster驱动(E:\Quartus_13_1\quartus\drivers\usb-blaster\usbblstr.inf)右键安装进入Quartus II 13.1直接点program device安装给的例子程序(已经编译好了的sof文件)成功!...原创 2020-08-21 10:58:57 · 273 阅读 · 0 评论