- 博客(16)
- 资源 (1)
- 收藏
- 关注
原创 vivado 错误提示[DRC REQP-21] 以及 vivado design initialization error
[DRC REQP-21]
2023-01-10 15:41:26
1931
原创 Critical Warning:[Common 17-161] Invalid option value ‘#‘ specified for ‘objects‘ “
无
2022-09-27 16:57:06
5065
原创 DNS报错
DNS报错无法连接网络前景昨晚,电脑莫名卡顿后,自动重启进入bios界面,退也退不出去,不断进入BIOS。通过按F7进入存储界面,发现系统盘检测不到了,无奈下只好拆拔下,可以正常启动进入桌面了。但发现电脑无法连接网络,但网线明明是插好的,同时,我的主板是 b550 WiFi版,但wifi也无法连接上。解决过程1、首先,确保网络是没问题的,我的手机能连接上WiFi,但电脑无法解决联网问题;2、如果安装了360,可以通过它里面的软件管家进行网络修复,我就是在360检测时发现DNS出现了问题。如果你仅仅
2022-05-18 11:20:28
1278
原创 ug585_ch8定时器阅读
zynq中拥有两个Cortex-A9的cpu,每个cpu有32bit的定时器和32bit的看门狗定时器。这些定时器都是由CPU频率的1/2驱动的。(CPU时钟频率若为666.666MHz,则寄存器为 333.333MHz)。两个三重时钟计数器通常是CPU频率1/4或1/6,并且可用于信号的脉冲宽度的计数。结构:(可看到控制中断控制器)一、CPU定时器和看门狗定时器...
2021-08-07 16:48:34
418
原创 zynq开发板裸核例程杂谈
第一步,新建工程1)搭建硬件平台首先,打开Xilinx SDK,选择新建,other;选择“Hardware Platfotm Specification”。2)在弹窗中输入 Project name,并指定 hdf 文件,可以使用提供的 hdf 文件(该文件由 vivado 编译输出)。第二步,新建FSBL(开始进入正题)1)选择新建“Application Project”,在弹窗中输入工程名“fsbl”,其他选项如下图所示,点击“next”;2)在随后的弹窗中,选择“Zynq
2021-08-04 14:38:47
550
原创 zynq——AXI_lite入门
AXI lite interface一、基础知识AXI4包括了1、AXI lite(一般用于传输少数据的ip通信或者minibatch data) 2、AXI full(high performance) 3、AXI stream(以数据流的形式发送数据,与1,2不同,它没有地址,视频常用)。Axi-lite1、时钟同步和复位:ACLK(同步时钟)和aresetn(同步,低电平有效复位)2、地址(1)写地址:write address(aw)AWADDR(2)读地址:read address(
2021-08-04 14:30:10
714
原创 ug585_ch19 UART 导读
我们在使用zynq时,通过查看手册可以看到uart在ps端。通过使用PS端的串口打印消息方便在单独使用ps时的调试。UART控制器是一个全双工的异步收发控制器,在zynq内部包含uart0和uart1两个控制器。每一个UART 控制器支持(1)可编程波特率发生器(2)64字节接收和传输的FIFO(3)可编程协议:a、数据位8,7,6 b、停止位1、1.5、2 c、校验方式UART的系统结构框图如下:
FSBL代码分析主要分析FSBL下的main函数1、对PCW进行初始化调用ps7_init函数主要是对ps端配置信息进行初始化操作,包括 MIO,PLL,CLK and DDR在vivado软件中通过图形化的方式对zynq ps 端外阿佘进行相关配置,那么这些配置信息会写入到hdf文件,SDK (或petalinux)会对hdf文件进行解析并生成对应的寄存器配置表,然后FSBL工程中会通过ps7_ init 函数将寄存器配置表写入到对应的寄存器中,完成对MIO/PLL/CLK/DDR等外设的硬件配
2021-07-29 13:32:01
1400
原创 video timing controller IP核学习
video timing controller IP核通常与AXI4-Stream to video out IP核一起使用。这里先讲video timing controller IP核的constant配置。根据Xilinx提供的手册写的样例:可以看到,activate的部分是放于时序前端的,和平常的使用不一样。举个常用的例子hdmi:它时序如下所以我们需要将时序进行重新排序放入(感谢正点原子提供的绘图):选用的是800x400的显示800:有效显示区域1056:显示周期840
2021-07-06 20:36:16
3167
1
原创 恢复不小心卸载的或者没安装的DocNav
今天整理桌面的时候不小心把vivado的DocNav卸载了,发现再xilinx官网单独安装回来时提示我的邮箱出问题(不知道是不是zc的缘故,我用的是qq邮箱),单独下不了。找了下,发现有这样一个方法下载回来,做个记录:打开vivado,在help里面有个Add design tools and Devices这个选项然后会进入之前安装vivado的界面,把DocNav勾选上,接着安装就好了。...
2021-07-05 11:03:45
2568
4
原创 paddle在本地创建环境
paddle在本地创建环境之前在使用paddle复现网上的一个工程,为了图方便就使用了cpu版本的paddlepaddle。安装的过程中,我一开始是直接在pycharm里面直接下载,但出现了以下错误,(由于计算机积极拒绝。。。)所以下载的时候建议在cmd界面,用以下网址的指令进行下载https://www.paddlepaddle.org.cn/install/quick?docurl=/documentation/docs/zh/install/pip/windows-pip.html虽然下载
2021-05-08 09:56:45
273
原创 OV7725引脚配置
OV7725引脚配置以下xdc文件配置的板子是pynq-Z2 :(但输出的图像有问题,还需修改)#----------------------摄像头接口的时钟---------------------------create_clock -period 41.600 -name cam_pclk -waveform {0.000 20.800} [get_ports cmos_pclk]set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets cmos_p
2021-03-06 10:36:08
1378
原创 PYNQ提示HWH文件使用
PYNQ提示HWH文件使用最近在使用pynq的jupyter notebook时,导入了bit文件和tcl文件,还出现了以下提示后来才发现vivado19版本需要将工程中的HWH文件也上传到jupyter notebook中和bit等文件放置到一起。但是根据依元素公司提供的视频18版本是不需要HWH文件的,而我在使用中尝试了18版本建立的工程还是需要提供。总而言之,加上了,也就跑通了。...
2021-03-06 10:30:16
2440
11
原创 __attribute__((weak)) 以及 typedef int32_t s32 的注解
attribute((weak)) 以及 typedef int32_t s32 的注解今天在学习SDK中由于zynq的架构不得已牵涉到了部分c语言,由此碰到了一函数 extern "C" { __attribute__((weak)) s32 _kill(s32 pid, s32 sig); } __attribute__((weak)) u32 kill(u32 pid, u32 sig) { if(pid == 1) { _exit(sig); }
2021-01-23 00:36:46
662
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人