
FPGA
SteveApple
这个作者很懒,什么都没留下…
展开
专栏收录文章
- 默认排序
- 最新发布
- 最早发布
- 最多阅读
- 最少阅读
-
一起来学FPGA(vhdl)四:数码管计时
目录 一起来学FPGA(vhdl)一:点亮流水灯 一起来学FPGA(vhdl)二:按键控制LED 一起来学FPGA(vhdl)三:数码管计时 环境 软件:ISE 14.7 硬件:ALINX AX3091 关键逻辑 定时器 以clk为时钟频率,设置一个10ms的定时器,用来计数。 process(clk) variable temp_count:integer:=0; begin if(clk'...原创 2019-10-19 11:37:41 · 4679 阅读 · 2 评论 -
一起来学FPGA(vhdl)三:分频器实验
FPGA(vhdl)三:分频器环境关键逻辑偶数分频奇数分频Code总结参考文档 环境 软件:ISE 14.7 关键逻辑 偶数分频 分频系数scaler为偶数,采用上升沿(或下降沿)触发计数到scaler/2时反转状态,实现占空比50%的偶数分频信号。 signal clk_div:bit:='0'; signal count:integer:=0; constant scaler:integer...原创 2019-10-14 22:47:03 · 2591 阅读 · 1 评论 -
一起来学FPGA(vhdl)二:按键控制LED
FPGA(vhdl)二:按键控制LED环境方案思路1思路2CodeAX309.ucf(节选)总结参考文档 环境 软件:ISE 14.7 硬件:ALINX AX3091 方案 思路1 process(key) begin if(key = '1') then t <= t xor '1'; end if; end process; process(t) begin led <...原创 2019-10-13 22:25:00 · 6399 阅读 · 0 评论 -
一起来学FPGA(vhdl)一:点亮流水灯
FPGA(vhdl)一:点亮流水灯环境CodeAX309.ucf(节选)说明CodePins总结参考文档 环境 软件:ISE 14.7 硬件:ALINX AX3091 Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmet...原创 2019-10-13 18:04:04 · 3999 阅读 · 0 评论